Searched refs:delay (Results 1 - 25 of 143) sorted by relevance

123456

/gem5/src/systemc/tests/systemc/misc/sim_tests/biquad/biquad3/
H A Ddelay_line.cpp45 out.write(line[delay-1]);
46 for (int i = delay - 1; i > 0; i--)
H A Ddelay_line.h50 const int delay; //internal variable local
51 float *line; // delay line
58 : delay(DELAY)
62 line = new float[delay];
63 for(int i=0; i<delay; i++) line[i] = 0.0;
/gem5/src/systemc/tests/systemc/misc/sim_tests/biquad/biquad2/
H A Ddelay_line.cpp45 for( int i = 0; i < delay; ++ i ) {
49 out.write(line[delay-1]);
50 for (int i = delay - 1; i > 0; i--)
H A Ddelay_line.h52 const int delay; //internal variable local
53 float *line; // delay line
60 int DELAY=4 ) : delay(DELAY)
65 line = new float[delay];
/gem5/src/cpu/testers/traffic_gen/
H A Didle_gen.cc61 IdleGen::nextPacketTick(bool elastic, Tick delay) const
H A Dexit_gen.cc63 ExitGen::nextPacketTick(bool elastic, Tick delay) const
H A Dlinear_gen.cc93 LinearGen::nextPacketTick(bool elastic, Tick delay) const
106 // compensate for the delay experienced to not be elastic, by
110 if (wait < delay)
113 wait -= delay;
H A Drandom_gen.cc86 RandomGen::nextPacketTick(bool elastic, Tick delay) const
100 // compensate for the delay experienced to not be elastic, by
104 if (wait < delay)
107 wait -= delay;
H A Dexit_gen.hh67 Tick nextPacketTick(bool elastic, Tick delay) const;
H A Didle_gen.hh72 Tick nextPacketTick(bool elastic, Tick delay) const ;
/gem5/util/systemc/systemc_within_gem5/systemc_simple_object/
H A Dfeeder.cc35 SimObject(params), printer(params->printer), delay(params->delay),
51 schedule(&event, curTick() + delay);
62 schedule(&event, curTick() + delay);
H A DSystemC_Example.py52 delay = Param.Latency('1ns', 'Time to wait between each word.') variable in class:Gem5_Feeder
H A Dfeeder.hh58 Tick delay; member in class:Feeder
/gem5/ext/dsent/model/timing_graph/
H A DElectricalTimingTree.cc55 double delay = performCritPathExtract(node_); local
56 double min_delay = delay;
66 while(required_delay_ < delay)
69 ": Required delay = " + (String) required_delay_ + ", Delay = " +
70 (String) delay + ", Slack = " + (String) (required_delay_ - delay));
73 // Go into the less expensive critical path delay calculation
75 while (required_delay_ < delay)
87 // Re-evaluate the delay of the critical path
88 delay
177 double delay = 0.0; local
[all...]
/gem5/util/tlm/examples/slave_port/
H A Dsc_target.cc77 Target::b_transport(tlm::tlm_generic_payload& trans, sc_time& delay) argument
115 sc_time& delay)
118 m_peq.notify(trans, phase, delay);
126 sc_time delay; local
174 sc_time delay; local
178 delay = sc_time(10.0, SC_NS); // Accept delay
181 status = socket->nb_transport_bw(trans, bw_phase, delay);
186 delay = delay
113 nb_transport_fw(tlm::tlm_generic_payload& trans, tlm::tlm_phase& phase, sc_time& delay) argument
260 sc_time delay; local
[all...]
/gem5/ext/dsent/model/electrical/
H A DRepeatedLink.cc144 // to catch the repeater's input/output cap and ensure only one inverter delay
147 // Init a timing object to calculate delay
165 " -> Required delay must be >= 0!");
181 double delay; local
187 delay = m_timing_tree_->calculateCritPathDelay(m_repeater_->getNet("A")) * number_segments;
197 last_min_size_delay = delay;
201 while(required_delay < delay)
204 ": Required delay = " + (String)required_delay +
205 ", Delay = " + (String)delay +
206 ", Slack = " + (String)(required_delay - delay)
[all...]
/gem5/src/sim/
H A Dpseudo_inst.hh81 void m5exit(ThreadContext *tc, Tick delay);
82 void m5fail(ThreadContext *tc, Tick delay, uint64_t code);
83 void resetstats(ThreadContext *tc, Tick delay, Tick period);
84 void dumpstats(ThreadContext *tc, Tick delay, Tick period);
85 void dumpresetstats(ThreadContext *tc, Tick delay, Tick period);
86 void m5checkpoint(ThreadContext *tc, Tick delay, Tick period);
/gem5/util/plot_dram/
H A Dlowp_dram_sweep_plot.py57 parser.add_argument("--delays", default="d1 d2 d3", help="string of delay"
91 ## Time plots for all delay values
92 for delay in delays:
94 filename = plotter.stateTimePlotName(str(delay) + '-')
96 outfile.write(getCaption(delay))
99 # Energy plots for all delay values
101 for delay in delays:
103 filename = plotter.stateEnergyPlotName(str(delay) + '-')
105 outfile.write(getCaption(delay))
119 def getCaption(delay)
[all...]
H A DPlotPowerStates.py147 for delay in delayValues:
168 results[delay][bank_util][seq_bytes][state] = \
178 results[delay][bank_util][seq_bytes][state] = senergy
196 # one plot per delay value
197 for delay in delayValues:
198 plot_path = plot_dir + delay + '-'
200 plotStackedStates(delay, States, 'IDLE', stateTimePlotName(plot_path),
202 plotStackedStates(delay, EnergyStates, 'ACT_E',
227 def plotStackedStates(delay, states_list, bottom_state, plot_name, ylabel_str):
232 @param delay
[all...]
/gem5/src/systemc/tests/systemc/communication/sc_export/test05/
H A Dtest05.cpp34 in m_in; // Input to delay pipe.
35 out m_out; // Output from delay pipe.
59 sc_in<atom > m_from_pipe; // Output from delay pipe.
83 sc_inout<atom > m_to_pipe; // Input for delay pipe.
91 esc_dpipe<atom,4> delay("pipe");
95 delay.m_clk(clock);
98 reader.m_from_pipe(delay.m_out);
101 writer.m_to_pipe(delay.m_in);
/gem5/src/mem/
H A DBridge.py52 delay = Param.Latency('0ns', "The latency of this bridge") variable in class:Bridge
/gem5/util/tlm/examples/master_port/
H A Dtraffic_generator.cc92 auto delay = sc_core::SC_ZERO_TIME; local
94 auto status = socket->nb_transport_fw(*trans, phase, delay);
98 peq.notify(*trans, phase, delay);
129 auto delay = sc_core::sc_time(5.0, sc_core::SC_NS); local
130 socket->nb_transport_fw(trans, fw_phase, delay);
149 sc_core::sc_time& delay)
152 peq.notify(trans, phase, delay);
147 nb_transport_bw(tlm::tlm_generic_payload& trans, tlm::tlm_phase& phase, sc_core::sc_time& delay) argument
/gem5/src/dev/net/
H A Ddist_etherlink.cc74 : SimObject(p), linkDelay(p->delay)
77 "link delay:%llu ticksPerByte:%f\n", p->delay, p->speed);
81 rxLink = new RxLink(name() + ".link1", this, p->delay, p->dump);
85 if (p->sync_repeat != p->delay)
87 p->sync_repeat, p->delay);
90 sync_repeat = p->delay;
197 Tick delay = (Tick)ceil(((double)pkt->simLength * ticksPerByte) + 1.0); local
199 delay += random_mt.random<Tick>(0, delayVar);
203 distIface->packetOut(pkt, delay);
[all...]
/gem5/src/systemc/tests/tlm/nb2b_adapter/
H A Dnb2b_adapter.cpp51 sc_time delay; local
68 socket->b_transport( *trans, delay );
96 delay = sc_time(rand_ps(), SC_PS);
99 status = socket->nb_transport_fw( *trans, phase, delay );
100 previous_time = sc_time_stamp() + delay;
104 m_peq.notify( *trans, phase, delay );
119 tlm::tlm_phase& phase, sc_time& delay )
121 if (sc_time_stamp() + delay < previous_time)
123 previous_time = sc_time_stamp() + delay;
125 m_peq.notify( trans, phase, delay );
144 sc_time delay = sc_time(rand_ps(), SC_PS); local
[all...]
/gem5/util/tlm/src/
H A Dsc_slave_port.cc99 sc_core::sc_time delay = sc_core::SC_ZERO_TIME; local
115 transactor->socket->b_transport(*trans, delay);
119 transactor->socket->b_transport(*trans, delay);
130 return delay.value();
220 * The header delay marks the point in time, when the packet first is seen
224 * NOTE: We drop the payload delay here. Normally, the receiver would be
225 * responsible for handling the payload delay. In this case, however,
228 * payload delay to the receiving module. Instead, we expect the
229 * receiving SystemC module to model the payload delay by deferring
231 * payload delay i
238 auto delay = sc_core::sc_time::from_value(packet->payloadDelay); local
276 sc_time delay; local
321 sc_time delay = SC_ZERO_TIME; local
347 sc_core::sc_time delay = sc_core::SC_ZERO_TIME; local
355 nb_transport_bw(tlm::tlm_generic_payload& trans, tlm::tlm_phase& phase, sc_core::sc_time& delay) argument
[all...]

Completed in 23 milliseconds

123456