Searched refs:sc_logic (Results 26 - 50 of 137) sorted by relevance

123456

/gem5/src/systemc/dt/bit/
H A Dsc_logic.cc22 sc_logic.cpp -- C++ implementation of logic type. Behaves
40 // $Log: sc_logic.cpp,v $
52 #include "systemc/ext/dt/bit/sc_logic.hh"
59 // CLASS : sc_logic
66 sc_logic::invalid_value(sc_logic_value_t v)
72 sc_logic::invalid_value(char c)
75 msg << "sc_logic('" << c << "')";
80 sc_logic::invalid_value(int i)
83 msg << "sc_logic(" << i << ")";
89 sc_logic
[all...]
H A Dsc_bit.cc63 #include "systemc/ext/dt/bit/sc_logic.hh"
97 sc_bit::sc_bit(const sc_logic &a) : m_val(a.to_bool()) // non-VSIA
104 sc_bit::operator = (const sc_logic &b) // non-VSIA
/gem5/src/systemc/tests/systemc/communication/ports/test03/
H A Dtest03.cpp48 sc_port<sc_signal_in_if<sc_logic>,1> input_2;
50 sc_port<sc_signal_in_if<sc_logic>,1> input_4;
52 sc_port<sc_signal_inout_if<sc_logic>,1> inout_2;
54 sc_port<sc_signal_inout_if<sc_logic>,1> inout_4;
67 sc_signal<sc_logic> sig3;
68 sc_signal<sc_logic> sig4;
71 sc_port<sc_signal_in_if<sc_logic>,1> in2;
73 sc_port<sc_signal_inout_if<sc_logic>,1> inout2;
75 sc_port<sc_signal_in_if<sc_logic>,1> in4;
77 sc_port<sc_signal_inout_if<sc_logic>,
[all...]
/gem5/src/systemc/tests/systemc/communication/ports/test04/
H A Dtest04.cpp51 sc_port<sc_signal_in_if<sc_logic>,2> in_2;
53 sc_port<sc_signal_inout_if<sc_logic>,2> inout_2;
67 sc_port<sc_signal_in_if<sc_logic>,2> in_2;
69 sc_port<sc_signal_inout_if<sc_logic>,2> inout_2;
84 sc_port<sc_signal_in_if<sc_logic>,0> input_3;
85 sc_port<sc_signal_in_if<sc_logic>,3> input_4;
88 sc_port<sc_signal_inout_if<sc_logic>,0> inout_3;
89 sc_port<sc_signal_inout_if<sc_logic>,3> inout_4;
92 sc_signal<sc_logic> sig_3;
93 sc_signal<sc_logic> sig_
[all...]
/gem5/src/systemc/ext/channel/
H A Dsc_inout.hh38 #include "../dt/bit/sc_logic.hh"
45 class sc_logic;
368 class sc_inout<sc_dt::sc_logic> :
369 public sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>
372 sc_inout() : sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(),
375 &sc_signal_inout_if<sc_dt::sc_logic>::value_changed_event),
376 _posFinder(*this, &sc_signal_inout_if<sc_dt::sc_logic>::posedge_event),
377 _negFinder(*this, &sc_signal_inout_if<sc_dt::sc_logic>::negedge_event)
380 sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(name),
383 &sc_signal_inout_if<sc_dt::sc_logic>
[all...]
/gem5/src/systemc/ext/core/
H A Dsc_sensitive.hh43 class sc_logic;
78 const sc_signal_in_if<sc_dt::sc_logic> &);
80 void operator () (::sc_gem5::Process *p, const sc_in<sc_dt::sc_logic> &);
83 const sc_inout<sc_dt::sc_logic> &);
/gem5/src/systemc/tests/systemc/misc/stars/star114104/
H A Dtest.cpp38 // test of sc_signal<sc_logic> posedge and negedge
44 sc_out<sc_logic> out;
50 sc_logic tmp( i );
66 sc_in<sc_logic> in;
92 sc_signal<sc_logic> sig;
/gem5/src/systemc/channel/
H A Dsc_signal_resolved.cc39 sc_signal<sc_dt::sc_logic, SC_MANY_WRITERS>(
44 sc_interface(), sc_signal<sc_dt::sc_logic, SC_MANY_WRITERS>(name)
51 sc_signal_resolved::write(const sc_dt::sc_logic &l)
66 sc_signal_resolved::operator = (const sc_dt::sc_logic &l)
99 sc_signal<sc_dt::sc_logic, SC_MANY_WRITERS>::update();
/gem5/src/systemc/tests/systemc/communication/sc_signal_resolved_port/test02/
H A Dtest02.cpp50 sc_port<sc_signal_inout_if<sc_logic>,1> in_out5;
51 sc_port<sc_signal_in_if<sc_logic>,1> in_1;
58 sc_logic m;
89 sc_signal<sc_logic> sig4;
91 sc_signal<sc_logic> sig6;
/gem5/src/systemc/tests/systemc/communication/sc_signal_resolved/test03/
H A Dtest03.cpp48 sc_in<sc_logic> in;
51 sc_logic l1;
52 sc_logic l2;
104 sc_signal<sc_logic> sig_logic;
/gem5/src/systemc/tests/systemc/misc/stars/star107755/
H A Dregfile.h44 sc_out<sc_logic> ready, done;
/gem5/src/systemc/tests/systemc/misc/stars/star109180/
H A Dregfile.h44 sc_out<sc_logic> ready, done;
/gem5/src/systemc/tests/systemc/misc/stars/star109218-2/
H A Dmap.h43 sc_out<sc_logic> done;
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt6.1/
H A Dts_buf.cpp52 ts_out.write(sc_logic('Z'));
/gem5/ext/systemc/src/sysc/communication/
H A Dsc_signal_ifs.h39 class sc_logic;
150 // CLASS : sc_signal_in_if<sc_dt::sc_logic>
152 // Specialization of sc_signal_in_if<T> for type sc_dt::sc_logic.
156 class sc_signal_in_if<sc_dt::sc_logic>
172 virtual const sc_dt::sc_logic& read() const = 0;
175 virtual const sc_dt::sc_logic& get_data_ref() const = 0;
198 sc_signal_in_if( const sc_signal_in_if<sc_dt::sc_logic>& );
199 sc_signal_in_if<sc_dt::sc_logic>& operator = (
200 const sc_signal_in_if<sc_dt::sc_logic>& );
H A Dsc_signal.cpp268 sc_signal<sc_dt::sc_logic,POL>::register_port( sc_port_base& port_,
282 sc_signal<sc_dt::sc_logic,POL>::write( const sc_dt::sc_logic& value_ )
297 sc_signal<sc_dt::sc_logic,POL>::print( ::std::ostream& os ) const
304 sc_signal<sc_dt::sc_logic,POL>::dump( ::std::ostream& os ) const
314 sc_signal<sc_dt::sc_logic,POL>::update()
324 sc_signal<sc_dt::sc_logic,POL>::do_update()
344 sc_signal<sc_dt::sc_logic,POL>::value_changed_event() const
351 sc_signal<sc_dt::sc_logic,POL>::posedge_event() const
358 sc_signal<sc_dt::sc_logic,PO
[all...]
H A Dsc_signal_ports.cpp120 // CLASS : sc_in<sc_logic>
122 // Specialization of sc_in<T> for type sc_logic.
128 sc_in<sc_dt::sc_logic>::end_of_elaboration()
144 sc_in<sc_dt::sc_logic>::add_trace_internal( sc_trace_file* tf_,
156 sc_in<sc_dt::sc_logic>::add_trace( sc_trace_file* tf_,
164 sc_in<sc_dt::sc_logic>::remove_traces() const
179 sc_in<sc_dt::sc_logic>::vbind( sc_interface& interface_ )
185 sc_in<sc_dt::sc_logic>::vbind( sc_port_base& parent_ )
294 // CLASS : sc_inout<sc_dt::sc_logic>
296 // Specialization of sc_inout<T> for type sc_dt::sc_logic
[all...]
H A Dsc_signal_resolved.h50 : public sc_signal<sc_dt::sc_logic,SC_MANY_WRITERS>
57 typedef sc_signal<sc_dt::sc_logic,SC_MANY_WRITERS> base_type;
58 typedef sc_dt::sc_logic data_type;
/gem5/ext/systemc/src/sysc/datatypes/bit/
H A Dsc_bit.cpp62 #include "sysc/datatypes/bit/sc_logic.h"
98 sc_bit::sc_bit( const sc_logic& a ) // non-VSIA
108 sc_bit::operator = ( const sc_logic& b ) // non-VSIA
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test01/
H A Dtest01.cpp49 sc_out<sc_logic> out_logic;
92 sc_signal<sc_logic> sig_logic;
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test04/
H A Dtest04.cpp49 sc_out<sc_logic> out_logic;
92 sc_signal<sc_logic> sig_logic;
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test05/
H A Dtest05.cpp49 sc_out<sc_logic> out_logic;
92 sc_signal<sc_logic> sig_logic;
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test06/
H A Dtest06.cpp49 sc_out<sc_logic> out_logic;
92 sc_signal<sc_logic> sig_logic;
/gem5/src/systemc/tests/systemc/communication/sc_signal_ports/test02/
H A Dtest02.cpp46 sc_in<sc_logic> in_logic;
88 sc_out<sc_logic> out_logic;
94 sc_logic l = SC_LOGIC_0;
123 sc_signal<sc_logic> sig_logic;
/gem5/src/systemc/tests/systemc/communication/sc_signal_resolved/test02/
H A Dtest02.cpp50 sc_logic l1;
51 sc_logic l2;
103 sc_signal<sc_logic> sig_logic;

Completed in 13 milliseconds

123456