112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test04.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Ucar Aziz, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com                   Martin Janssen, Synopsys, Inc., 2002-02-15
2612855Sgabeblack@google.com
2712855Sgabeblack@google.com *****************************************************************************/
2812855Sgabeblack@google.com
2912855Sgabeblack@google.com/*****************************************************************************
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3212855Sgabeblack@google.com  changes you are making here.
3312855Sgabeblack@google.com
3412855Sgabeblack@google.com      Name, Affiliation, Date:
3512855Sgabeblack@google.com  Description of Modification:
3612855Sgabeblack@google.com
3712855Sgabeblack@google.com *****************************************************************************/
3812855Sgabeblack@google.com
3912855Sgabeblack@google.com//test of port connections
4012855Sgabeblack@google.com
4112855Sgabeblack@google.com#include "systemc.h"
4212855Sgabeblack@google.com
4312855Sgabeblack@google.com
4412855Sgabeblack@google.com#define WRITE(a) \
4512855Sgabeblack@google.com    cout << a.name() << " (" << a.kind() << ")" << endl
4612855Sgabeblack@google.com
4712855Sgabeblack@google.com
4812855Sgabeblack@google.comSC_MODULE( mod_a)
4912855Sgabeblack@google.com{
5012855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,2> in_1;
5112855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,2> in_2;
5212855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,2> inout_1;
5312855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,2> inout_2;
5412855Sgabeblack@google.com
5512855Sgabeblack@google.com  SC_CTOR( mod_a )
5612855Sgabeblack@google.com    {
5712855Sgabeblack@google.com     WRITE(in_1);
5812855Sgabeblack@google.com     WRITE(in_2);
5912855Sgabeblack@google.com     WRITE(inout_1);
6012855Sgabeblack@google.com     WRITE(inout_2);
6112855Sgabeblack@google.com    }
6212855Sgabeblack@google.com};
6312855Sgabeblack@google.com
6412855Sgabeblack@google.comSC_MODULE( mod_b)
6512855Sgabeblack@google.com{
6612855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,2> in_1;
6712855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,2> in_2;
6812855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,2> inout_1;
6912855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,2> inout_2;
7012855Sgabeblack@google.com
7112855Sgabeblack@google.com  SC_CTOR( mod_b )
7212855Sgabeblack@google.com    {
7312855Sgabeblack@google.com     WRITE(in_1);
7412855Sgabeblack@google.com     WRITE(in_2);
7512855Sgabeblack@google.com     WRITE(inout_1);
7612855Sgabeblack@google.com     WRITE(inout_2);
7712855Sgabeblack@google.com    }
7812855Sgabeblack@google.com};
7912855Sgabeblack@google.com
8012855Sgabeblack@google.comSC_MODULE( mod_c )
8112855Sgabeblack@google.com{
8212855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,0> input_1;
8312855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,3> input_2;
8412855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,0> input_3;
8512855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,3> input_4;
8612855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,0> inout_1;
8712855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,3> inout_2;
8812855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,0> inout_3;
8912855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,3> inout_4;
9012855Sgabeblack@google.com  sc_signal<bool> sig_1;
9112855Sgabeblack@google.com  sc_signal<bool> sig_2;
9212855Sgabeblack@google.com  sc_signal<sc_logic> sig_3;
9312855Sgabeblack@google.com  sc_signal<sc_logic> sig_4;
9412855Sgabeblack@google.com
9512855Sgabeblack@google.com  mod_a a;
9612855Sgabeblack@google.com  mod_b b;
9712855Sgabeblack@google.com
9812855Sgabeblack@google.com  SC_CTOR( mod_c )
9912855Sgabeblack@google.com    :a("a"), b("b")
10012855Sgabeblack@google.com  {
10112855Sgabeblack@google.com    a.in_1(input_2);
10212855Sgabeblack@google.com    a.in_1(sig_1);
10312855Sgabeblack@google.com    a.in_2(input_4);
10412855Sgabeblack@google.com    a.in_2(sig_3);
10512855Sgabeblack@google.com    a.inout_1(inout_2);
10612855Sgabeblack@google.com    a.inout_1(sig_2);
10712855Sgabeblack@google.com    a.inout_2(inout_4);
10812855Sgabeblack@google.com    a.inout_2(sig_4);
10912855Sgabeblack@google.com
11012855Sgabeblack@google.com    b.in_1(input_1);
11112855Sgabeblack@google.com    b.in_1(input_2);
11212855Sgabeblack@google.com    b.in_2(input_3);
11312855Sgabeblack@google.com    b.in_2(input_4);
11412855Sgabeblack@google.com    b.inout_1(inout_1);
11512855Sgabeblack@google.com    b.inout_1(inout_2);
11612855Sgabeblack@google.com    b.inout_2(inout_3);
11712855Sgabeblack@google.com    b.inout_2(inout_4);
11812855Sgabeblack@google.com
11912855Sgabeblack@google.com     WRITE(input_1);
12012855Sgabeblack@google.com     WRITE(input_2);
12112855Sgabeblack@google.com     WRITE(inout_1);
12212855Sgabeblack@google.com     WRITE(inout_2);
12312855Sgabeblack@google.com }
12412855Sgabeblack@google.com};
12512855Sgabeblack@google.com
12612855Sgabeblack@google.comSC_MODULE( mod_d )
12712855Sgabeblack@google.com{
12812855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,1> input_1;
12912855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,1> input_2;
13012855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,1> inout_1;
13112855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,1> inout_2;
13212855Sgabeblack@google.com
13312855Sgabeblack@google.com  mod_c c;
13412855Sgabeblack@google.com
13512855Sgabeblack@google.com  SC_CTOR( mod_d )
13612855Sgabeblack@google.com    : input_1("input_1"), input_2("input_2"),
13712855Sgabeblack@google.com    inout_1("inout_1"), inout_2("inout_2"), c("c")
13812855Sgabeblack@google.com  {
13912855Sgabeblack@google.com    c.input_1(input_1);
14012855Sgabeblack@google.com    c.input_3(input_2);
14112855Sgabeblack@google.com    c.inout_1(inout_1);
14212855Sgabeblack@google.com    c.inout_3(inout_2);
14312855Sgabeblack@google.com  }
14412855Sgabeblack@google.com};
14512855Sgabeblack@google.com
14612855Sgabeblack@google.com
14712855Sgabeblack@google.comint sc_main(int, char* []){
14812855Sgabeblack@google.com
14912855Sgabeblack@google.com  mod_d d("d");
15012855Sgabeblack@google.com
15112855Sgabeblack@google.com  return 0;
15212855Sgabeblack@google.com}
153