112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test03.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Ucar Aziz, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com                   Martin Janssen, Synopsys, Inc., 2002-02-15
2612855Sgabeblack@google.com
2712855Sgabeblack@google.com *****************************************************************************/
2812855Sgabeblack@google.com
2912855Sgabeblack@google.com/*****************************************************************************
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3212855Sgabeblack@google.com  changes you are making here.
3312855Sgabeblack@google.com
3412855Sgabeblack@google.com      Name, Affiliation, Date:
3512855Sgabeblack@google.com  Description of Modification:
3612855Sgabeblack@google.com
3712855Sgabeblack@google.com *****************************************************************************/
3812855Sgabeblack@google.com
3912855Sgabeblack@google.com// test of sc_port constructors for sc_signal_in(inout)_if interface
4012855Sgabeblack@google.com
4112855Sgabeblack@google.com#include "systemc.h"
4212855Sgabeblack@google.com
4312855Sgabeblack@google.com
4412855Sgabeblack@google.comSC_MODULE( mod_b )
4512855Sgabeblack@google.com{
4612855Sgabeblack@google.com
4712855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,1> input_1;
4812855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,1> input_2;
4912855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,1> input_3;
5012855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,1> input_4;
5112855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,1> inout_1;
5212855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,1> inout_2;
5312855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,1> inout_3;
5412855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,1> inout_4;
5512855Sgabeblack@google.com
5612855Sgabeblack@google.com
5712855Sgabeblack@google.com  SC_CTOR( mod_b )
5812855Sgabeblack@google.com   { }
5912855Sgabeblack@google.com};
6012855Sgabeblack@google.com
6112855Sgabeblack@google.comSC_MODULE( mod_c )
6212855Sgabeblack@google.com{
6312855Sgabeblack@google.com  mod_b b;
6412855Sgabeblack@google.com
6512855Sgabeblack@google.com  sc_signal<bool> sig1;
6612855Sgabeblack@google.com  sc_signal<bool> sig2;
6712855Sgabeblack@google.com  sc_signal<sc_logic> sig3;
6812855Sgabeblack@google.com  sc_signal<sc_logic> sig4;
6912855Sgabeblack@google.com
7012855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,1> in1;
7112855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,1> in2;
7212855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,1> inout1;
7312855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,1> inout2;
7412855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,1> in3;
7512855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,1> in4;
7612855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,1> inout3;
7712855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,1> inout4;
7812855Sgabeblack@google.com  sc_port<sc_signal_in_if<bool>,1> in5;
7912855Sgabeblack@google.com  sc_port<sc_signal_in_if<sc_logic>,1> in6;
8012855Sgabeblack@google.com  sc_port<sc_signal_inout_if<bool>,1> inout5;
8112855Sgabeblack@google.com  sc_port<sc_signal_inout_if<sc_logic>,1> inout6;
8212855Sgabeblack@google.com
8312855Sgabeblack@google.com
8412855Sgabeblack@google.com  SC_CTOR( mod_c )
8512855Sgabeblack@google.com    : b("b"),
8612855Sgabeblack@google.com      sig1("sig_1"),sig2("sig_2"), sig3("sig_3"), sig4("sig_4"),
8712855Sgabeblack@google.com      in1( "in_1", sig1 ), in2( "in_2", sig3 ), inout1( "inout_1", sig2),
8812855Sgabeblack@google.com      inout2( "inout_2", sig4),
8912855Sgabeblack@google.com      in3("in_3", b.input_1), in4("in_4", b.input_2),
9012855Sgabeblack@google.com      inout3("inout_3", b.inout_1), inout4("inout_4", b.inout_2),
9112855Sgabeblack@google.com      in5(b.input_3), in6(b.input_4), inout5(b.inout_3),
9212855Sgabeblack@google.com      inout6(b.inout_4)
9312855Sgabeblack@google.com  {}
9412855Sgabeblack@google.com};
9512855Sgabeblack@google.com
9612855Sgabeblack@google.com
9712855Sgabeblack@google.com#define WRITE(a) \
9812855Sgabeblack@google.com    cout << a.name() << " (" << a.kind() << ")" << endl
9912855Sgabeblack@google.com
10012855Sgabeblack@google.com
10112855Sgabeblack@google.comint sc_main(int, char* []){
10212855Sgabeblack@google.com
10312855Sgabeblack@google.com  mod_c c("c");
10412855Sgabeblack@google.com  WRITE(c.sig1);
10512855Sgabeblack@google.com  WRITE(c.sig2);
10612855Sgabeblack@google.com  WRITE(c.sig3);
10712855Sgabeblack@google.com  WRITE(c.sig4);
10812855Sgabeblack@google.com  WRITE(c.in1);
10912855Sgabeblack@google.com  WRITE(c.in2);
11012855Sgabeblack@google.com  WRITE(c.in3);
11112855Sgabeblack@google.com  WRITE(c.in4);
11212855Sgabeblack@google.com  WRITE(c.in5);
11312855Sgabeblack@google.com  WRITE(c.in6);
11412855Sgabeblack@google.com  WRITE(c.inout1);
11512855Sgabeblack@google.com  WRITE(c.inout2);
11612855Sgabeblack@google.com  WRITE(c.inout3);
11712855Sgabeblack@google.com  WRITE(c.inout4);
11812855Sgabeblack@google.com  WRITE(c.inout5);
11912855Sgabeblack@google.com  WRITE(c.inout6);
12012855Sgabeblack@google.com
12112855Sgabeblack@google.com  return 0;
12212855Sgabeblack@google.com}
123