112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com// test of signal port tracing.
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com#include "systemc.h"
4112855Sgabeblack@google.com
4212855Sgabeblack@google.comSC_MODULE( mod_a )
4312855Sgabeblack@google.com{
4412855Sgabeblack@google.com    sc_in_clk clk;
4512855Sgabeblack@google.com
4612855Sgabeblack@google.com    sc_in<int>       in_int;
4712855Sgabeblack@google.com    sc_in<bool>      in_bool;
4812855Sgabeblack@google.com    sc_in<sc_logic>  in_logic;
4912855Sgabeblack@google.com    sc_in_resolved   in_resolved;
5012855Sgabeblack@google.com    sc_in_rv<1>      in_rv1;
5112855Sgabeblack@google.com
5212855Sgabeblack@google.com    sc_out<int>      out_int;
5312855Sgabeblack@google.com    sc_out<bool>     out_bool;
5412855Sgabeblack@google.com    sc_out<sc_logic> out_logic;
5512855Sgabeblack@google.com    sc_out_resolved  out_resolved;
5612855Sgabeblack@google.com    sc_out_rv<1>     out_rv1;
5712855Sgabeblack@google.com
5812855Sgabeblack@google.com    void main_action()
5912855Sgabeblack@google.com    {
6012855Sgabeblack@google.com        int      a_int      = 0;
6112855Sgabeblack@google.com        bool     a_bool     = false;
6212855Sgabeblack@google.com        sc_logic a_logic    = SC_LOGIC_X;
6312855Sgabeblack@google.com        sc_logic a_resolved = SC_LOGIC_X;
6412855Sgabeblack@google.com        sc_lv<1> a_rv1      = sc_lv<1>( SC_LOGIC_X );
6512855Sgabeblack@google.com
6612855Sgabeblack@google.com        wait();
6712855Sgabeblack@google.com
6812855Sgabeblack@google.com        while( true ) {
6912855Sgabeblack@google.com            out_int      = a_int;
7012855Sgabeblack@google.com            out_bool     = a_bool;
7112855Sgabeblack@google.com            out_logic    = a_logic;
7212855Sgabeblack@google.com            out_resolved = a_resolved;
7312855Sgabeblack@google.com            out_rv1      = a_rv1;
7412855Sgabeblack@google.com
7512855Sgabeblack@google.com            a_int ++;
7612855Sgabeblack@google.com            a_bool     = ! a_bool;
7712855Sgabeblack@google.com            a_logic    = sc_dt::sc_logic_value_t( a_int % 4 );
7812855Sgabeblack@google.com            a_resolved = a_logic;
7912855Sgabeblack@google.com            a_rv1      = sc_lv<1>( a_logic );
8012855Sgabeblack@google.com
8112855Sgabeblack@google.com            wait();
8212855Sgabeblack@google.com        }
8312855Sgabeblack@google.com    }
8412855Sgabeblack@google.com
8512855Sgabeblack@google.com    SC_CTOR( mod_a )
8612855Sgabeblack@google.com    {
8712855Sgabeblack@google.com        SC_THREAD( main_action );
8812855Sgabeblack@google.com        sensitive << clk.pos();
8912855Sgabeblack@google.com    }
9012855Sgabeblack@google.com};
9112855Sgabeblack@google.com
9212855Sgabeblack@google.comint
9312855Sgabeblack@google.comsc_main( int, char*[] )
9412855Sgabeblack@google.com{
9512855Sgabeblack@google.com    sc_clock clk;
9612855Sgabeblack@google.com
9712855Sgabeblack@google.com    sc_signal<int>      sig_int;
9812855Sgabeblack@google.com    sc_signal<bool>     sig_bool;
9912855Sgabeblack@google.com    sc_signal<sc_logic> sig_logic;
10012855Sgabeblack@google.com    sc_signal_resolved  sig_resolved;
10112855Sgabeblack@google.com    sc_signal_rv<1>     sig_rv1;
10212855Sgabeblack@google.com
10312855Sgabeblack@google.com    mod_a a( "a" );
10412855Sgabeblack@google.com
10512855Sgabeblack@google.com    a.clk( clk );
10612855Sgabeblack@google.com
10712855Sgabeblack@google.com    a.in_int( sig_int );
10812855Sgabeblack@google.com    a.in_bool( sig_bool );
10912855Sgabeblack@google.com    a.in_logic( sig_logic );
11012855Sgabeblack@google.com    a.in_resolved( sig_resolved );
11112855Sgabeblack@google.com    a.in_rv1( sig_rv1 );
11212855Sgabeblack@google.com
11312855Sgabeblack@google.com    a.out_int( sig_int );
11412855Sgabeblack@google.com    a.out_bool( sig_bool );
11512855Sgabeblack@google.com    a.out_logic( sig_logic );
11612855Sgabeblack@google.com    a.out_resolved( sig_resolved );
11712855Sgabeblack@google.com    a.out_rv1( sig_rv1 );
11812855Sgabeblack@google.com
11912855Sgabeblack@google.com    sc_trace_file* tf = sc_create_vcd_trace_file( "test" );
12012855Sgabeblack@google.com
12112855Sgabeblack@google.com    sc_trace( tf, sig_int,      "sig_int" );
12212855Sgabeblack@google.com    sc_trace( tf, sig_bool,     "sig_bool" );
12312855Sgabeblack@google.com    sc_trace( tf, sig_logic,    "sig_logic" );
12412855Sgabeblack@google.com    sc_trace( tf, sig_resolved, "sig_resolved" );
12512855Sgabeblack@google.com    sc_trace( tf, sig_rv1,      "sig_rv1" );
12612855Sgabeblack@google.com
12712855Sgabeblack@google.com    sc_trace( tf, a.in_int,      "a.in_int" );
12812855Sgabeblack@google.com    sc_trace( tf, a.in_bool,     "a.in_bool" );
12912855Sgabeblack@google.com    sc_trace( tf, a.in_logic,    "a.in_logic" );
13012855Sgabeblack@google.com    sc_trace( tf, a.in_resolved, "a.in_resolved" );
13112855Sgabeblack@google.com    sc_trace( tf, a.in_rv1,      "a.in_rv1" );
13212855Sgabeblack@google.com
13312855Sgabeblack@google.com    sc_trace( tf, a.out_int,      "a.out_int" );
13412855Sgabeblack@google.com    sc_trace( tf, a.out_bool,     "a.out_bool" );
13512855Sgabeblack@google.com    sc_trace( tf, a.out_logic,    "a.out_logic" );
13612855Sgabeblack@google.com    sc_trace( tf, a.out_resolved, "a.out_resolved" );
13712855Sgabeblack@google.com    sc_trace( tf, a.out_rv1,      "a.out_rv1" );
13812855Sgabeblack@google.com
13912855Sgabeblack@google.com    sc_start( 10, SC_NS );
14012855Sgabeblack@google.com
14112855Sgabeblack@google.com    sc_close_vcd_trace_file( tf );
14212855Sgabeblack@google.com
14312855Sgabeblack@google.com    return 0;
14412855Sgabeblack@google.com}
145