1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  dist.h -- Definition of the odometers.
23
24  Original Author: Ali Dasdan, Synopsys, Inc.
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38#ifndef DIST_H
39#define DIST_H
40
41SC_MODULE( dist_mod )
42{
43  // Ports:
44  sc_in<bool> pulse; // Pulse coming ftom the pulse generator.
45  sc_in<bool> reset; // Reset the partial distance odometer if true.
46  sc_in<bool> start; // Becomes true if the car's started.
47
48  // Output ports:
49  sc_out<double> total;   // Total distance.
50  sc_out<double> partial; // Partial distance.
51
52  // Internal signals:
53  sc_signal<bool>   ok_for_incr;
54  sc_signal<double> total_dist;
55  sc_signal<double> partial_dist;
56
57  // Internal variables:
58  static bool prev_reset;
59
60  // Get the pulses for one distance increment.
61  void get_dist_proc();
62
63  // Compute total and partial distances.
64  void compute_total_proc();
65  void compute_partial_proc();
66
67  // LCD display driver.
68  void lcd_driver_proc();
69
70  SC_CTOR( dist_mod )
71  {
72    SC_THREAD( get_dist_proc );
73    sensitive << pulse.pos();
74
75    SC_METHOD( compute_total_proc );
76    sensitive << ok_for_incr;
77
78    SC_METHOD( compute_partial_proc );
79    sensitive << ok_for_incr;
80
81    SC_METHOD( lcd_driver_proc );
82    sensitive << total_dist << partial_dist;
83
84    ok_for_incr = false;
85    total_dist = 0.0;
86    partial_dist = 0.0;
87  }
88
89};
90
91#endif
92