Searched refs:c3 (Results 1 - 10 of 10) sorted by relevance

/gem5/src/systemc/tests/systemc/communication/sc_clock/test02/
H A Dtest02.cpp62 sc_clock c3( "c3", 8, SC_NS, 0.1 );
63 cout << "m_cur_val for c3( \"c3\", t1, 0.1, t2 ) is: ";
64 c3.print(cout);
/gem5/src/systemc/tests/systemc/communication/sc_clock/test01/
H A Dtest01.cpp60 sc_clock c3( "c3", t1 );
61 CLOCK_INFO( c3 );
/gem5/src/systemc/tests/systemc/1666-2011-compliance/child_proc_control/
H A Dchild_proc_control.cpp58 c3 = new int[n];
65 c0[i] = c1[i] = c2[i] = c3[i] = c4[i] = c5[i] = 0;
74 int *c0, *c1, *c2, *c3 ,*c4, *c5; member in struct:Top
183 case 3: sc_assert(sc_time_stamp() == sc_time(20, SC_NS)); c3[i] = 1; break;
209 sc_assert( top.c3[i] );
/gem5/src/systemc/tests/systemc/datatypes/int/reduce/test01/
H A Dtest01.cpp112 sc_int<3> c3 = -1; local
114 cout << c3.and_reduce() << endl;
115 cout << c3.xor_reduce() << endl;
/gem5/src/systemc/tests/systemc/datatypes/int/reduce/test02/
H A Dtest02.cpp112 sc_int<3> c3 = -1; local
114 cout << and_reduce( c3 ) << endl;
115 cout << xor_reduce( c3 ) << endl;
/gem5/src/systemc/tests/systemc/datatypes/int/reduce/test03/
H A Dtest03.cpp112 sc_bigint<3> c3 = -1; local
114 cout << c3.and_reduce() << endl;
115 cout << c3.xor_reduce() << endl;
/gem5/src/systemc/tests/systemc/compliance_1666/test001/
H A Dtest001.cpp229 void Modb::funny() { C1 c1; C2 c2; C3 c3; } local
275 C3 c3; local
302 moda.p3(c3);
308 modb.p3(c3);
/gem5/src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_datatype/
H A Dstd_ulogic_datatype.cpp123 char c1, c2, c3, c4, c5, c6, c7, c8, c9; local
128 c3 = 'X';
148 sc3 = c3;
170 << "\nSC3 \t= " << sc3 << "\t '" << c3 << "'"
/gem5/ext/mcpat/
H A Dlogic.cc287 double c1, c2, c3, c4, c5, c6; local
291 c3 = fpfp_node_cap(3, 2);
296 e_switch.readOp.dynamic += (c4 + c1 + c2 + c3 + c5 + c6 + 2 * cell_load) *
301 c3 * g_tp.peri_global.Vdd * g_tp.peri_global.Vdd ;
/gem5/src/systemc/tests/systemc/datatypes/bit/sc_proxy/test01/
H A Dtest01.cpp101 sc_bv_base c3( "0b0101", 3 );
102 WRITE( c3 );

Completed in 20 milliseconds