112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com// child_proc_control.cpp -- test for
2112855Sgabeblack@google.com//
2212855Sgabeblack@google.com//  Original Author: John Aynsley, Doulos Inc.
2312855Sgabeblack@google.com//
2412855Sgabeblack@google.com// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
2512855Sgabeblack@google.com//
2612855Sgabeblack@google.com// $Log: child_proc_control.cpp,v $
2712855Sgabeblack@google.com// Revision 1.2  2011/05/08 19:18:46  acg
2812855Sgabeblack@google.com//  Andy Goodrich: remove extraneous + prefixes from git diff.
2912855Sgabeblack@google.com//
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com// Process control methods applied to child processes
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com#define SC_INCLUDE_DYNAMIC_PROCESSES
3412855Sgabeblack@google.com
3512855Sgabeblack@google.com#include <systemc>
3612855Sgabeblack@google.com
3712855Sgabeblack@google.comusing namespace sc_core;
3812855Sgabeblack@google.comusing std::cout;
3912855Sgabeblack@google.comusing std::endl;
4012855Sgabeblack@google.com
4112855Sgabeblack@google.comstruct Top: sc_module
4212855Sgabeblack@google.com{
4312855Sgabeblack@google.com  Top(sc_module_name _name)
4412855Sgabeblack@google.com  : count(0)
4512855Sgabeblack@google.com  , n(155)
4612855Sgabeblack@google.com  , proc_count(0)
4712855Sgabeblack@google.com  {
4812855Sgabeblack@google.com    SC_THREAD(ctrl);
4912855Sgabeblack@google.com    SC_THREAD(observer);
5012855Sgabeblack@google.com
5112855Sgabeblack@google.com    f0 = f1 = 0;
5212855Sgabeblack@google.com
5312855Sgabeblack@google.com    given_birth = new bool[n];
5412855Sgabeblack@google.com
5512855Sgabeblack@google.com    c0 = new int[n];
5612855Sgabeblack@google.com    c1 = new int[n];
5712855Sgabeblack@google.com    c2 = new int[n];
5812855Sgabeblack@google.com    c3 = new int[n];
5912855Sgabeblack@google.com    c4 = new int[n];
6012855Sgabeblack@google.com    c5 = new int[n];
6112855Sgabeblack@google.com
6212855Sgabeblack@google.com    for (int i = 0; i < n; i++)
6312855Sgabeblack@google.com    {
6412855Sgabeblack@google.com      given_birth[i] = false;
6512855Sgabeblack@google.com      c0[i] = c1[i] = c2[i] = c3[i] = c4[i] = c5[i] = 0;
6612855Sgabeblack@google.com    }
6712855Sgabeblack@google.com  }
6812855Sgabeblack@google.com
6912855Sgabeblack@google.com  int count;
7012855Sgabeblack@google.com  int f0, f1;
7112855Sgabeblack@google.com  const int n;
7212855Sgabeblack@google.com  int proc_count;
7312855Sgabeblack@google.com  bool *given_birth;
7412855Sgabeblack@google.com  int *c0, *c1, *c2, *c3 ,*c4, *c5;
7512855Sgabeblack@google.com
7612855Sgabeblack@google.com  sc_event ev;
7712855Sgabeblack@google.com  sc_process_handle ph;
7812855Sgabeblack@google.com  sc_event_and_list reset_event_list;
7912855Sgabeblack@google.com  sc_event_and_list terminated_event_list;
8012855Sgabeblack@google.com
8112855Sgabeblack@google.com  void ctrl()
8212855Sgabeblack@google.com  {
8312855Sgabeblack@google.com    count = 1;
8412855Sgabeblack@google.com    ph = sc_spawn(sc_bind(&Top::parent_proc, this));
8512855Sgabeblack@google.com    wait(10, SC_NS);
8612855Sgabeblack@google.com
8712855Sgabeblack@google.com    count = 2;
8812855Sgabeblack@google.com    ph.reset(SC_INCLUDE_DESCENDANTS);
8912855Sgabeblack@google.com    wait(10, SC_NS);
9012855Sgabeblack@google.com
9112855Sgabeblack@google.com    count = 3;
9212855Sgabeblack@google.com    ev.notify();
9312855Sgabeblack@google.com    wait(10, SC_NS);
9412855Sgabeblack@google.com
9512855Sgabeblack@google.com    count = 4;
9612855Sgabeblack@google.com    ph.suspend(SC_INCLUDE_DESCENDANTS);
9712855Sgabeblack@google.com    wait(10, SC_NS);
9812855Sgabeblack@google.com
9912855Sgabeblack@google.com    count = 5;
10012855Sgabeblack@google.com    ev.notify();
10112855Sgabeblack@google.com    wait(10, SC_NS);
10212855Sgabeblack@google.com
10312855Sgabeblack@google.com    count = 6;
10412855Sgabeblack@google.com    ph.resume(SC_INCLUDE_DESCENDANTS);
10512855Sgabeblack@google.com    wait(10, SC_NS);
10612855Sgabeblack@google.com
10712855Sgabeblack@google.com    count = 7;
10812855Sgabeblack@google.com    ph.kill(SC_INCLUDE_DESCENDANTS);
10912855Sgabeblack@google.com    wait(10, SC_NS);
11012855Sgabeblack@google.com  }
11112855Sgabeblack@google.com
11212855Sgabeblack@google.com  void observer()
11312855Sgabeblack@google.com  {
11412855Sgabeblack@google.com    wait(SC_ZERO_TIME);
11512855Sgabeblack@google.com
11612855Sgabeblack@google.com    wait(reset_event_list);
11712855Sgabeblack@google.com    sc_assert(sc_time_stamp() == sc_time(10, SC_NS));
11812855Sgabeblack@google.com    f0 = 1;
11912855Sgabeblack@google.com
12012855Sgabeblack@google.com    wait(terminated_event_list);
12112855Sgabeblack@google.com    sc_assert(sc_time_stamp() == sc_time(60, SC_NS));
12212855Sgabeblack@google.com    f1 = 1;
12312855Sgabeblack@google.com  }
12412855Sgabeblack@google.com
12512855Sgabeblack@google.com  void parent_proc()
12612855Sgabeblack@google.com  {
12712855Sgabeblack@google.com    sc_process_handle h;
12812855Sgabeblack@google.com    int level = 2;
12912855Sgabeblack@google.com    for (int i = 0; i < 5; i++)
13012855Sgabeblack@google.com    {
13112855Sgabeblack@google.com      if (proc_count < n)
13212855Sgabeblack@google.com      {
13312855Sgabeblack@google.com        h = sc_spawn(sc_bind(&Top::child_proc, this, proc_count++, level));
13412855Sgabeblack@google.com        reset_event_list      &= h.reset_event();
13512855Sgabeblack@google.com        terminated_event_list &= h.terminated_event();
13612855Sgabeblack@google.com      }
13712855Sgabeblack@google.com    }
13812855Sgabeblack@google.com  }
13912855Sgabeblack@google.com
14012855Sgabeblack@google.com  void child_proc(int i, int level)
14112855Sgabeblack@google.com  {
14212855Sgabeblack@google.com    //cout << "Child " << i << " called at " << sc_time_stamp() << endl;
14312855Sgabeblack@google.com    if (level > 0)
14412855Sgabeblack@google.com      if ( !given_birth[i] )
14512855Sgabeblack@google.com      {
14612855Sgabeblack@google.com        sc_process_handle h;
14712855Sgabeblack@google.com        for (int j = 0; j < 5; j++)
14812855Sgabeblack@google.com        {
14912855Sgabeblack@google.com          if (proc_count < n)
15012855Sgabeblack@google.com          {
15112855Sgabeblack@google.com            h = sc_spawn(sc_bind(&Top::child_proc, this, proc_count++, level-1));
15212855Sgabeblack@google.com            reset_event_list      &= h.reset_event();
15312855Sgabeblack@google.com            terminated_event_list &= h.terminated_event();
15412855Sgabeblack@google.com            given_birth[i] = true;
15512855Sgabeblack@google.com          }
15612855Sgabeblack@google.com        }
15712855Sgabeblack@google.com      }
15812855Sgabeblack@google.com    switch(count)
15912855Sgabeblack@google.com    {
16012855Sgabeblack@google.com      case  1: sc_assert(sc_time_stamp() == sc_time( 0, SC_NS)); c0[i] = 1; break;
16112855Sgabeblack@google.com      case  2: sc_assert(sc_time_stamp() == sc_time(10, SC_NS)); c2[i] = 1; break;
16212855Sgabeblack@google.com      default: sc_assert(false); break;
16312855Sgabeblack@google.com    }
16412855Sgabeblack@google.com    while(true)
16512855Sgabeblack@google.com    {
16612855Sgabeblack@google.com      try {
16712855Sgabeblack@google.com        wait(ev);
16812855Sgabeblack@google.com      }
16912855Sgabeblack@google.com      catch (const sc_unwind_exception& e) {
17012855Sgabeblack@google.com        switch(count)
17112855Sgabeblack@google.com        {
17212855Sgabeblack@google.com          case  2: sc_assert(sc_time_stamp() == sc_time(10, SC_NS));
17312855Sgabeblack@google.com                   sc_assert( e.is_reset() ); c1[i] = 1; break;
17412855Sgabeblack@google.com          case  7: sc_assert(sc_time_stamp() == sc_time(60, SC_NS));
17512855Sgabeblack@google.com                   sc_assert( !e.is_reset() ); c5[i] = 1; break;
17612855Sgabeblack@google.com          default: sc_assert(false); break;
17712855Sgabeblack@google.com        }
17812855Sgabeblack@google.com        sc_assert( sc_is_unwinding() );
17912855Sgabeblack@google.com        throw e;
18012855Sgabeblack@google.com      }
18112855Sgabeblack@google.com      switch(count)
18212855Sgabeblack@google.com      {
18312855Sgabeblack@google.com        case  3: sc_assert(sc_time_stamp() == sc_time(20, SC_NS)); c3[i] = 1; break;
18412855Sgabeblack@google.com        case  6: sc_assert(sc_time_stamp() == sc_time(50, SC_NS)); c4[i] = 1; break;
18512855Sgabeblack@google.com        default: sc_assert(false); break;
18612855Sgabeblack@google.com      }
18712855Sgabeblack@google.com    }
18812855Sgabeblack@google.com  }
18912855Sgabeblack@google.com
19012855Sgabeblack@google.com  SC_HAS_PROCESS(Top);
19112855Sgabeblack@google.com};
19212855Sgabeblack@google.com
19312855Sgabeblack@google.comint sc_main(int argc, char* argv[])
19412855Sgabeblack@google.com{
19512855Sgabeblack@google.com  Top top("top");
19612855Sgabeblack@google.com
19712855Sgabeblack@google.com  sc_start();
19812855Sgabeblack@google.com
19912855Sgabeblack@google.com  sc_assert( top.proc_count == top.n );
20012855Sgabeblack@google.com
20112855Sgabeblack@google.com  sc_assert( top.f0 );
20212855Sgabeblack@google.com  sc_assert( top.f1 );
20312855Sgabeblack@google.com
20412855Sgabeblack@google.com  for (int i = 0; i < top.n; i++)
20512855Sgabeblack@google.com  {
20612855Sgabeblack@google.com    sc_assert( top.c0[i] );
20712855Sgabeblack@google.com    sc_assert( top.c1[i] );
20812855Sgabeblack@google.com    sc_assert( top.c2[i] );
20912855Sgabeblack@google.com    sc_assert( top.c3[i] );
21012855Sgabeblack@google.com    sc_assert( top.c4[i] );
21112855Sgabeblack@google.com    sc_assert( top.c5[i] );
21212855Sgabeblack@google.com  }
21312855Sgabeblack@google.com
21412855Sgabeblack@google.com  cout << endl << "Success" << endl;
21512855Sgabeblack@google.com  return 0;
21612855Sgabeblack@google.com}
21712855Sgabeblack@google.com
218