Searched refs:clockDivider (Results 1 - 2 of 2) sorted by relevance

/gem5/src/sim/
H A Dclock_domain.cc198 clockDivider(p->clk_divider)
202 if (clockDivider < 1) {
224 _clockPeriod = parent.clockPeriod() * clockDivider;
H A Dclock_domain.hh306 const uint64_t clockDivider; member in class:DerivedClockDomain

Completed in 9 milliseconds