Searched refs:sc_core (Results 226 - 250 of 575) sorted by relevance

1234567891011>>

/gem5/ext/systemc/src/tlm_utils/
H A Dmulti_socket_bases.h97 #define TLM_FULL_ARG_LIST typename TRAITS::tlm_payload_type& txn, typename TRAITS::tlm_phase_type& ph, sc_core::sc_time& t
105 #define TLM_FULL_ARG_LIST typename TRAITS::tlm_payload_type& txn, sc_core::sc_time& t
165 sc_core::sc_time& t){
177 void b_transport(transaction_type& trans,sc_core::sc_time& t){
212 void register_port(sc_core::sc_port_base& b, const char* name){
227 sc_core::sc_port_base* get_other_side(){return m_caller_port;}
240 sc_core::sc_port_base* m_caller_port;
268 sc_core::sc_time& t){
312 ,sc_core::sc_port_policy POL = sc_core
[all...]
H A Dmulti_passthrough_initiator_socket.h42 ,sc_core::sc_port_policy POL = sc_core::SC_ONE_OR_MORE_BOUND
66 sc_core::sc_time&);
81 : base_type(sc_core::sc_gen_unique_name("multi_passthrough_initiator_socket"))
121 sc_core::sc_time&))
169 virtual sc_core::sc_export<tlm::tlm_bw_transport_if<TYPES> >& get_base_export()
176 virtual const sc_core::sc_export<tlm::tlm_bw_transport_if<TYPES> >& get_base_export() const
191 sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES> >* p_ex_s=dynamic_cast<sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES> >*>(&s);
202 sc_core
[all...]
/gem5/src/systemc/core/
H A Dsc_module.cc59 if (::sc_core::sc_is_running()) {
62 SC_REPORT_ERROR(sc_core::SC_ID_MODULE_METHOD_AFTER_START_,
74 if (::sc_core::sc_is_running()) {
77 SC_REPORT_ERROR(sc_core::SC_ID_MODULE_THREAD_AFTER_START_,
89 if (::sc_core::sc_is_running()) {
92 SC_REPORT_ERROR(sc_core::SC_ID_MODULE_CTHREAD_AFTER_START_,
103 namespace sc_core namespace
192 std::vector<const ::sc_core::sc_bind_proxy *> proxies;
193 auto insert = [&proxies](const ::sc_core::sc_bind_proxy &p) -> bool {
353 void sc_module::next_trigger() { ::sc_core
[all...]
H A Dmodule.cc86 sc_mod(static_cast<::sc_core::sc_module *>(this_obj->sc_obj()));
107 Module::bindPorts(std::vector<const ::sc_core::sc_bind_proxy *> &proxies)
147 SC_REPORT_WARNING(sc_core::SC_ID_END_MODULE_NOT_CALLED_, msg.c_str());
203 SC_REPORT_ERROR(sc_core::SC_ID_MODULE_NAME_STACK_EMPTY_, "");
H A Dsc_export.cc37 namespace sc_core namespace
75 } // namespace sc_core
/gem5/ext/systemc/src/sysc/datatypes/bit/
H A Dsc_bv_base.cpp77 SC_REPORT_ERROR( sc_core::SC_ID_ZERO_LENGTH_, 0 );
104 SC_REPORT_ERROR( sc_core::SC_ID_CANNOT_CONVERT_,
185 SC_REPORT_ERROR( sc_core::SC_ID_OUT_OF_BOUNDS_, msg );
230 SC_REPORT_ERROR( sc_core::SC_ID_OUT_OF_BOUNDS_, msg );
275 SC_REPORT_ERROR( sc_core::SC_ID_OUT_OF_BOUNDS_, msg );
294 SC_REPORT_ERROR( sc_core::SC_ID_OUT_OF_BOUNDS_, msg );
316 SC_REPORT_ERROR(sc_core::SC_ID_CANNOT_CONVERT_,
320 SC_REPORT_ERROR(sc_core::SC_ID_CANNOT_CONVERT_,
357 } catch( sc_core::sc_report ) {
360 SC_REPORT_ERROR( sc_core
[all...]
/gem5/src/systemc/tests/include/
H A DSimpleLTInitiator2_DMI.h43 class SimpleLTInitiator2_dmi : public sc_core::sc_module
57 SimpleLTInitiator2_dmi(sc_core::sc_module_name name,
60 sc_core::sc_module(name),
110 << " @ " << sc_core::sc_time_stamp() << std::endl;
115 << " @ " << sc_core::sc_time_stamp() << std::endl;
123 << sc_core::sc_time_stamp() << std::endl;
130 std::cout << " @ " << sc_core::sc_time_stamp() << std::endl;
147 sc_core::sc_time t;
151 t = sc_core::SC_ZERO_TIME;
292 sc_core
[all...]
H A DSimpleLTInitiator3_DMI.h42 class SimpleLTInitiator3_dmi : public sc_core::sc_module
56 SimpleLTInitiator3_dmi(sc_core::sc_module_name name,
59 sc_core::sc_module(name),
108 << " @ " << sc_core::sc_time_stamp() << std::endl;
113 << " @ " << sc_core::sc_time_stamp() << std::endl;
121 << sc_core::sc_time_stamp() << std::endl;
128 std::cout << " @ " << sc_core::sc_time_stamp() << std::endl;
145 sc_core::sc_time t;
149 t = sc_core::SC_ZERO_TIME;
237 sc_core
[all...]
/gem5/src/systemc/utils/
H A Dtracefile.hh88 class TraceVal<::sc_core::sc_signal_in_if<T>, Base> : public Base
91 const ::sc_core::sc_signal_in_if<T> *iface;
95 TraceVal(const ::sc_core::sc_signal_in_if<T> *_iface, int _width) :
114 class TraceVal<::sc_core::sc_event, Base> : public Base
122 TraceVal(const ::sc_core::sc_event *_event, int _width) :
192 class TraceFile : public sc_core::sc_trace_file
198 ::sc_core::sc_time_unit timeUnitUnit;
211 void set_time_unit(double, ::sc_core::sc_time_unit) override;
243 virtual void addTraceVal(const sc_core::sc_event *v,
245 virtual void addTraceVal(const sc_core
[all...]
/gem5/src/systemc/tests/tlm/multi_sockets/
H A DMultiSocketSimpleSwitchAT.h46 class MultiSocketSimpleSwitchAT : public sc_core::sc_module, public tlm::tlm_mm_interface
72 sc_core::sc_event event; //trigger this event when transaction is done
96 MultiSocketSimpleSwitchAT(sc_core::sc_module_name name) :
97 sc_core::sc_module(name),
134 void b_transport(int initiator_id, transaction_type& trans, sc_core::sc_time& t){
170 sc_core::sc_time& t,
200 sc_core::sc_time& t)
227 sc_core::sc_time& t)
245 sc_core::sc_time t=sc_core
[all...]
/gem5/ext/systemc/src/sysc/datatypes/fx/
H A Dsc_context.h46 // Andy Goodrich: added a using for sc_core::default_ptr_hash_fn to keep HP
67 namespace sc_core { namespace
71 using sc_core::default_ptr_hash_fn; // To keep HP aCC happy.
114 sc_core::sc_phash<void*,const T*> m_map;
192 void* p = sc_core::sc_get_current_process_b();
274 SC_REPORT_ERROR( sc_core::SC_ID_CONTEXT_BEGIN_FAILED_, 0 );
290 SC_REPORT_ERROR( sc_core::SC_ID_CONTEXT_END_FAILED_, 0 );
/gem5/util/tlm/src/
H A Dsc_master_port.hh111 sc_core::sc_time& t);
112 void b_transport(tlm::tlm_generic_payload& trans, sc_core::sc_time& t);
135 sc_core::sc_time& delay);
/gem5/src/systemc/tests/systemc/kernel/dynamic_processes/test10/
H A Dtest10.cpp88 catch(sc_core::sc_unwind_exception& ex)
110 catch(sc_core::sc_unwind_exception& ex)
129 catch(sc_core::sc_unwind_exception& ex)
/gem5/src/systemc/tests/systemc/utils/sc_vector/test05/
H A Dtest05.cpp40 using sc_core::sc_vector;
59 << dynamic_cast<const sc_core::sc_object*>(in[i][j])->name()
70 sub_module( sc_core::sc_module_name, unsigned n_sub ) argument
/gem5/src/systemc/ext/tlm_core/1/req_rsp/channels/req_rsp_channels/
H A Dput_get_imp.hh47 const sc_core::sc_event &
62 virtual const sc_core::sc_event &
81 virtual const sc_core::sc_event &
/gem5/ext/systemc/src/sysc/communication/
H A Dsc_mutex_if.h34 namespace sc_core { namespace
118 } // namespace sc_core
H A Dsc_semaphore.h37 namespace sc_core { namespace
98 } // namespace sc_core
/gem5/ext/systemc/src/sysc/kernel/
H A Dsc_join.cpp41 namespace sc_core { namespace
116 } // namespace sc_core
H A Dsc_module_name.cpp39 namespace sc_core { namespace
75 } // namespace sc_core
H A Dsc_module_registry.h35 namespace sc_core { namespace
95 } // namespace sc_core
H A Dsc_name_gen.cpp39 namespace sc_core { namespace
83 } // namespace sc_core
H A Dsc_runnable.h36 namespace sc_core { namespace
89 } // namespace sc_core
H A Dsc_status.h34 namespace sc_core { namespace
69 } // namespace sc_core
/gem5/ext/systemc/src/sysc/utils/
H A Dsc_mempool.h35 namespace sc_core { namespace
76 } // namespace sc_core
/gem5/src/systemc/channel/
H A Dsc_out_resolved.cc32 namespace sc_core namespace
76 } // namespace sc_core

Completed in 29 milliseconds

1234567891011>>