test04.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test04.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38#include "systemc.h"
39
40SC_MODULE( proc1 )
41{
42  SC_HAS_PROCESS( proc1 );
43
44  sc_in<bool> clk;
45
46  char obj1;
47  short obj2;
48  int obj3;
49  long obj4;
50  int64 obj5;
51
52  proc1( sc_module_name NAME,
53	 sc_signal<bool>& CLK )
54  {
55    clk(CLK);
56    SC_THREAD( entry );
57    sensitive << clk;
58    obj1 = 0;
59    obj2 = 0;
60    obj3 = 0;
61    obj4 = 0;
62    obj5 = 0;
63  }
64
65  void entry();
66};
67
68void proc1::entry()
69{
70  wait();
71  while(true) {
72    obj1 = 7;
73    obj2 = 31;
74    obj3 = -1023;
75    obj4 = 2047;
76	obj5 = -1;
77	obj5 = ~(obj5 << 40);
78    wait();
79    obj1 = 1;
80    obj2 = -2;
81    obj3 = 1024;
82    obj4 = -2048;
83	obj5 = -(obj5+1);
84    wait();
85  }
86}
87
88
89int sc_main(int ac, char *av[])
90{
91  sc_trace_file *tf;
92  sc_signal<bool> clock;
93
94  proc1 P1("P1", clock);
95
96  tf = sc_create_wif_trace_file("test04");
97  sc_trace(tf, P1.obj1, "Char");
98  sc_trace(tf, P1.obj2, "Short");
99  sc_trace(tf, P1.obj3, "Int");
100  sc_trace(tf, P1.obj4, "Long");
101  sc_trace(tf, P1.obj5, "Int64");
102  sc_trace(tf, clock, "Clock");
103
104  clock.write(0);
105  sc_start(0, SC_NS);
106  for (int i = 0; i< 10; i++) {
107    clock.write(1);
108    sc_start(10, SC_NS);
109    clock.write(0);
110    sc_start(10, SC_NS);
111  }
112  sc_close_wif_trace_file( tf );
113  return 0;
114}
115