mixed.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  mixed.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38#include "systemc.h"
39#include "isaac.h"
40
41QTIsaac<8> rng;
42
43SC_MODULE( adder )
44{
45    SC_HAS_PROCESS( adder );
46
47    sc_in<bool> clk;
48    sc_in<int>  a;
49    sc_in<int>  b;
50    sc_out<int> sum;
51
52    adder( sc_module_name NAME,
53           sc_clock& CLK,
54           sc_signal<int>& A,
55           sc_signal<int>& B,
56           sc_signal<int>& SUM )
57        : a(A), b(B), sum(SUM)
58    {
59        clk(CLK);
60		SC_METHOD( entry );
61        sensitive << clk;
62        sensitive << a;
63        sensitive << b;
64    }
65    void entry();
66};
67
68void
69adder::entry()
70{
71    if (clk.posedge()) {
72        sum = a + b;
73    }
74}
75
76SC_MODULE( stim )
77{
78    SC_HAS_PROCESS( stim );
79
80    sc_in_clk   clk;
81    sc_out<int> a;
82    sc_out<int> b;
83
84    stim( sc_module_name NAME,
85          sc_clock& CLK,
86          sc_signal<int>& A,
87          sc_signal<int>& B )
88        : a(A), b(B)
89    {
90        clk(CLK);
91		SC_CTHREAD( entry, clk.pos() );
92    }
93    void entry();
94};
95
96void
97stim::entry()
98{
99    while (true) {
100        a = rng.rand() % 32768;
101        b = rng.rand() % 32768;
102        wait();
103    }
104}
105
106int
107sc_main( int argc, char* argv[] )
108{
109    sc_signal<int> a("a");
110    sc_signal<int> b("b");
111    sc_signal<int> sum("sum");
112    sc_clock clk("clk", 20, SC_NS);
113
114    a = 0;
115    b = 0;
116    sum = 0;
117
118    adder add("add", clk, a, b, sum);
119    stim  sti("sti", clk, a, b);
120
121    sc_trace_file* tf = sc_create_wif_trace_file("mixed");
122    sc_trace(tf, a, "a");
123    sc_trace(tf, b, "b");
124    sc_trace(tf, sum, "sum");
125    sc_trace(tf, clk, "clk");
126    sc_start(1000, SC_NS);
127    sc_close_wif_trace_file( tf );
128    return 0;
129}
130