test13.vcd revision 12855:588919e0e4aa
1
2$timescale
3     1 ps
4$end
5
6$scope module SystemC $end
7$var wire    1  aaaaa  clk       $end
8$scope module mod $end
9$var wire   37  aaaab  a [36:0]  $end
10$upscope $end
11$upscope $end
12$enddefinitions  $end
13
14$comment
15All initial values are dumped below at time 0 sec = 0 timescale units.
16$end
17
18$dumpvars
191aaaaa
20b0 aaaab
21$end
22
23#25000
240aaaaa
25
26#50000
271aaaaa
28b1100 aaaab
29
30#75000
310aaaaa
32
33