test10.vcd revision 12855:588919e0e4aa
1
2$timescale
3     1 ps
4$end
5
6$scope module SystemC $end
7$var wire    2  aaaaa  Enum [1:0]  $end
8$var wire    1  aaaab  Clock       $end
9$upscope $end
10$enddefinitions  $end
11
12$comment
13All initial values are dumped below at time 0 sec = 0 timescale units.
14$end
15
16$dumpvars
17b0 aaaaa
181aaaab
19$end
20
21#10000
22b1 aaaaa
230aaaab
24
25#20000
26b10 aaaaa
271aaaab
28
29#30000
30b0 aaaaa
310aaaab
32
33#40000
34b1 aaaaa
351aaaab
36
37#50000
38b10 aaaaa
390aaaab
40
41#60000
42b0 aaaaa
431aaaab
44
45#70000
46b1 aaaaa
470aaaab
48
49#80000
50b10 aaaaa
511aaaab
52
53#90000
54b0 aaaaa
550aaaab
56
57#100000
58b1 aaaaa
591aaaab
60
61#110000
62b10 aaaaa
630aaaab
64
65#120000
66b0 aaaaa
671aaaab
68
69#130000
70b1 aaaaa
710aaaab
72
73#140000
74b10 aaaaa
751aaaab
76
77#150000
78b0 aaaaa
790aaaab
80
81#160000
82b1 aaaaa
831aaaab
84
85#170000
86b10 aaaaa
870aaaab
88
89#180000
90b0 aaaaa
911aaaab
92
93#190000
94b1 aaaaa
950aaaab
96
97#200000
98