112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  main.cpp -- Main function for the dashboard controller for a
2312855Sgabeblack@google.com           car. This controller contains a speedometer, two odometers (total
2412855Sgabeblack@google.com           and partial distance), the driver of the car, clocks, and the
2512855Sgabeblack@google.com           pulse generator. The pulses are generated by the sensors placed
2612855Sgabeblack@google.com           around one of the wheel shafts. The rate of pulse generation is
2712855Sgabeblack@google.com           determined by the speed of the car. The driver can start the car,
2812855Sgabeblack@google.com           set its speed, reset the partial distance odometer, and stop the
2912855Sgabeblack@google.com           car (which means he will stop the simulation). One of the clocks
3012855Sgabeblack@google.com           is slow and the other is fast. The fast clock represents the real
3112855Sgabeblack@google.com           time. The slow clock is used to control the actions of the
3212855Sgabeblack@google.com           driver. The signals in this program are traced.
3312855Sgabeblack@google.com
3412855Sgabeblack@google.com           purpose (in terms of changes to dash3's purpose) -- new style of
3512855Sgabeblack@google.com           declaring modules and processes (i.e., via the use of
3612855Sgabeblack@google.com           module_name).
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com  Original Author: Ali Dasdan, Synopsys, Inc.
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com *****************************************************************************/
4112855Sgabeblack@google.com
4212855Sgabeblack@google.com/*****************************************************************************
4312855Sgabeblack@google.com
4412855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
4512855Sgabeblack@google.com  changes you are making here.
4612855Sgabeblack@google.com
4712855Sgabeblack@google.com      Name, Affiliation, Date:
4812855Sgabeblack@google.com  Description of Modification:
4912855Sgabeblack@google.com
5012855Sgabeblack@google.com *****************************************************************************/
5112855Sgabeblack@google.com
5212855Sgabeblack@google.com// $Log: main.cpp,v $
5312855Sgabeblack@google.com// Revision 1.2  2011/01/07 01:20:20  acg
5412855Sgabeblack@google.com//  Andy Goodrich: update for new IEEE 1666.
5512855Sgabeblack@google.com//
5612855Sgabeblack@google.com// Revision 1.1.1.1  2006/12/15 20:26:24  acg
5712855Sgabeblack@google.com// systemc_tests-2.3
5812855Sgabeblack@google.com//
5912855Sgabeblack@google.com// Revision 1.5  2006/01/24 21:05:55  acg
6012855Sgabeblack@google.com//  Andy Goodrich: replacement of deprecated features with their non-deprecated
6112855Sgabeblack@google.com//  counterparts.
6212855Sgabeblack@google.com//
6312855Sgabeblack@google.com// Revision 1.4  2006/01/20 00:43:24  acg
6412855Sgabeblack@google.com// Andy Goodrich: Changed over to use putenv() instead of setenv() to accommodate old versions of Solaris.
6512855Sgabeblack@google.com//
6612855Sgabeblack@google.com// Revision 1.3  2006/01/19 00:48:19  acg
6712855Sgabeblack@google.com// Andy Goodrich: Changes for the fact signal write checking is enabled.
6812855Sgabeblack@google.com//
6912855Sgabeblack@google.com// Revision 1.2  2006/01/18 00:23:50  acg
7012855Sgabeblack@google.com// Change over from SC_NO_WRITE_CHECK to sc_write_check_enable() call.
7112855Sgabeblack@google.com//
7212855Sgabeblack@google.com
7312855Sgabeblack@google.com#define SC_NO_WRITE_CHECK
7412855Sgabeblack@google.com#include "systemc.h"
7512855Sgabeblack@google.com#include "const.h"
7612855Sgabeblack@google.com#include "driver.h"
7712855Sgabeblack@google.com#include "pulse.h"
7812855Sgabeblack@google.com#include "speed.h"
7912855Sgabeblack@google.com#include "dist.h"
8012855Sgabeblack@google.com
8112855Sgabeblack@google.comint
8212855Sgabeblack@google.comsc_main(int argc, char *argv[])
8312855Sgabeblack@google.com{
8412855Sgabeblack@google.com  // Pulses for the speedometer and odometers, generated by the pulse
8512855Sgabeblack@google.com  // generator.
8612855Sgabeblack@google.com  sc_signal<bool> speed_pulses("speed_pulses");
8712855Sgabeblack@google.com  sc_signal<bool> dist_pulses("dist_pulses");
8812855Sgabeblack@google.com  // Signals for the driver's actions.
8912855Sgabeblack@google.com  sc_signal<bool> reset("reset");
9012855Sgabeblack@google.com  sc_signal<int>  speed("speed");
9112855Sgabeblack@google.com  sc_signal<bool> start("start");
9212855Sgabeblack@google.com
9312855Sgabeblack@google.com  // Signals observed by the driver.
9412855Sgabeblack@google.com  sc_signal<double> disp_speed("disp_speed");
9512855Sgabeblack@google.com  sc_signal<double> disp_angle("disp_angle");
9612855Sgabeblack@google.com  sc_signal<double> disp_total_dist("disp_total_dist");
9712855Sgabeblack@google.com  sc_signal<double> disp_partial_dist("disp_partial_dist");
9812855Sgabeblack@google.com
9912855Sgabeblack@google.com  // Clocks.
10012855Sgabeblack@google.com  sc_clock clk0("slow_clk", SLOW_CLOCK_PERIOD0, SC_NS, 0.5, 0.0, SC_NS, true);
10112855Sgabeblack@google.com  sc_clock clk1("fast_clk", FAST_CLOCK_PERIOD1, SC_NS, 0.5, 0.0, SC_NS, false);
10212855Sgabeblack@google.com
10312855Sgabeblack@google.com  driver_mod driver("driver");
10412855Sgabeblack@google.com  driver(clk0, disp_speed, disp_angle, disp_total_dist, disp_partial_dist,
10512855Sgabeblack@google.com      reset, speed, start);
10612855Sgabeblack@google.com
10712855Sgabeblack@google.com  gen_pulse_mod gen_pulse("gen_pulse");
10812855Sgabeblack@google.com  gen_pulse(clk1, start, speed, speed_pulses, dist_pulses);
10912855Sgabeblack@google.com
11012855Sgabeblack@google.com  speed_mod speedometer("speedometer");
11112855Sgabeblack@google.com  speedometer(clk1, start, speed_pulses, disp_speed, disp_angle);
11212855Sgabeblack@google.com
11312855Sgabeblack@google.com  dist_mod odometers("odometers");
11412855Sgabeblack@google.com  odometers(dist_pulses, reset, start, disp_total_dist, disp_partial_dist);
11512855Sgabeblack@google.com
11612855Sgabeblack@google.com  // Initialize signals:
11712855Sgabeblack@google.com  start = false;
11812855Sgabeblack@google.com
11912855Sgabeblack@google.com  // Tracing:
12012855Sgabeblack@google.com  // Trace file creation.
12112855Sgabeblack@google.com  sc_trace_file *tf = sc_create_vcd_trace_file("dash");
12212855Sgabeblack@google.com  // External signals.
12312855Sgabeblack@google.com  sc_trace(tf, clk0, "slow_clk");
12412855Sgabeblack@google.com  sc_trace(tf, clk1, "fast_clk");
12512855Sgabeblack@google.com  sc_trace(tf, speed_pulses, "speed_pulses");
12612855Sgabeblack@google.com  sc_trace(tf, dist_pulses, "dist_pulses");
12712855Sgabeblack@google.com  sc_trace(tf, reset, "reset");
12812855Sgabeblack@google.com  sc_trace(tf, start, "start");
12912855Sgabeblack@google.com  sc_trace(tf, speed, "speed");
13012855Sgabeblack@google.com  sc_trace(tf, disp_speed, "disp_speed");
13112855Sgabeblack@google.com  sc_trace(tf, disp_angle, "disp_angle");
13212855Sgabeblack@google.com  sc_trace(tf, disp_total_dist, "disp_total_dist");
13312855Sgabeblack@google.com  sc_trace(tf, disp_partial_dist, "disp_partial_dist");
13412855Sgabeblack@google.com  // Internal signals.
13512855Sgabeblack@google.com  sc_trace(tf, speedometer.elapsed_time, "elapsed_time");
13612855Sgabeblack@google.com  sc_trace(tf, speedometer.read_mod->raw_speed, "raw_speed");
13712855Sgabeblack@google.com  sc_trace(tf, speedometer.filtered_speed, "filtered_speed");
13812855Sgabeblack@google.com  sc_trace(tf, odometers.ok_for_incr, "ok_for_incr");
13912855Sgabeblack@google.com  sc_trace(tf, odometers.total_dist, "total_dist");
14012855Sgabeblack@google.com  sc_trace(tf, odometers.partial_dist, "partial_dist");
14112855Sgabeblack@google.com
14212855Sgabeblack@google.com  disp_speed = 0.0;
14312855Sgabeblack@google.com  disp_angle = 0.0;
14412855Sgabeblack@google.com  disp_total_dist = 0.0;
14512855Sgabeblack@google.com  disp_partial_dist = 0.0;
14612855Sgabeblack@google.com
14712855Sgabeblack@google.com  sc_start();
14812855Sgabeblack@google.com
14912855Sgabeblack@google.com  return 0;
15012855Sgabeblack@google.com}
15112855Sgabeblack@google.com
15212855Sgabeblack@google.com// End of file
153