112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test1.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com/*
3912855Sgabeblack@google.com  Corner case testing for new scheduler.
4012855Sgabeblack@google.com  Case 1. Checking triggering of a sc_async/sc_aproc
4112855Sgabeblack@google.com  vis-a-vis a synchronous process.
4212855Sgabeblack@google.com  This test checks to ensure that a synch. and async. sensitive
4312855Sgabeblack@google.com  to a clock are triggered correctly.
4412855Sgabeblack@google.com  */
4512855Sgabeblack@google.com
4612855Sgabeblack@google.com#include "systemc.h"
4712855Sgabeblack@google.com
4812855Sgabeblack@google.comSC_MODULE( syncproc )
4912855Sgabeblack@google.com{
5012855Sgabeblack@google.com  SC_HAS_PROCESS( syncproc );
5112855Sgabeblack@google.com
5212855Sgabeblack@google.com  sc_in<bool> clk;
5312855Sgabeblack@google.com
5412855Sgabeblack@google.com  const sc_signal<int>& in1;
5512855Sgabeblack@google.com  const sc_signal<int>& in2;
5612855Sgabeblack@google.com  sc_signal<int>& out;
5712855Sgabeblack@google.com
5812855Sgabeblack@google.com  syncproc(sc_module_name NAME,
5912855Sgabeblack@google.com	   sc_signal_in_if<bool>& CLK,
6012855Sgabeblack@google.com	   const sc_signal<int>& IN1,
6112855Sgabeblack@google.com	   const sc_signal<int>& IN2,
6212855Sgabeblack@google.com	   sc_signal<int>& OUT_)
6312855Sgabeblack@google.com    : in1(IN1), in2(IN2), out(OUT_)
6412855Sgabeblack@google.com  {
6512855Sgabeblack@google.com    SC_CTHREAD( entry, clk.pos() );
6612855Sgabeblack@google.com    clk(CLK);
6712855Sgabeblack@google.com    out = 0;
6812855Sgabeblack@google.com  }
6912855Sgabeblack@google.com
7012855Sgabeblack@google.com  void entry()
7112855Sgabeblack@google.com  {
7212855Sgabeblack@google.com    int i = 100;
7312855Sgabeblack@google.com    while (true) {
7412855Sgabeblack@google.com      out = i;
7512855Sgabeblack@google.com      wait();
7612855Sgabeblack@google.com      while (in1.read() != i) {
7712855Sgabeblack@google.com	cout << "Sync: Value written = " << i << "  value1 read = " << in1.read() << "  value2 read = " << in2.read() << endl;
7812855Sgabeblack@google.com	wait();
7912855Sgabeblack@google.com	cout << "Waited one cycle\n" << endl;
8012855Sgabeblack@google.com      }
8112855Sgabeblack@google.com      i++;
8212855Sgabeblack@google.com    }
8312855Sgabeblack@google.com  }
8412855Sgabeblack@google.com};
8512855Sgabeblack@google.com
8612855Sgabeblack@google.comSC_MODULE( asyncproc )
8712855Sgabeblack@google.com{
8812855Sgabeblack@google.com  SC_HAS_PROCESS( asyncproc );
8912855Sgabeblack@google.com
9012855Sgabeblack@google.com  const sc_signal<int>& in;
9112855Sgabeblack@google.com  sc_signal<int>& out;
9212855Sgabeblack@google.com  sc_in<bool> clock;
9312855Sgabeblack@google.com
9412855Sgabeblack@google.com  asyncproc(sc_module_name NAME,
9512855Sgabeblack@google.com	    const sc_signal<int>& IN_,
9612855Sgabeblack@google.com	    sc_signal<int>& OUT_,
9712855Sgabeblack@google.com	    sc_signal_in_if<bool>& CLOCK)
9812855Sgabeblack@google.com    : in(IN_), out(OUT_)
9912855Sgabeblack@google.com  {
10012855Sgabeblack@google.com    out = 0;
10112855Sgabeblack@google.com    clock(CLOCK);
10212855Sgabeblack@google.com    SC_THREAD( entry );
10312855Sgabeblack@google.com    sensitive << clock.pos();
10412855Sgabeblack@google.com  }
10512855Sgabeblack@google.com
10612855Sgabeblack@google.com  void entry()
10712855Sgabeblack@google.com  {
10812855Sgabeblack@google.com    wait();
10912855Sgabeblack@google.com    while (true) {
11012855Sgabeblack@google.com      if (clock.posedge()) {
11112855Sgabeblack@google.com	out = in;
11212855Sgabeblack@google.com	cout << "AsyncProc: Value read = " << in.read() << endl;
11312855Sgabeblack@google.com      }
11412855Sgabeblack@google.com      else {
11512855Sgabeblack@google.com	cout << "Error" << endl;
11612855Sgabeblack@google.com      }
11712855Sgabeblack@google.com      wait();
11812855Sgabeblack@google.com    }
11912855Sgabeblack@google.com  }
12012855Sgabeblack@google.com};
12112855Sgabeblack@google.com
12212855Sgabeblack@google.comSC_MODULE( asyncblock )
12312855Sgabeblack@google.com{
12412855Sgabeblack@google.com  SC_HAS_PROCESS( asyncblock );
12512855Sgabeblack@google.com
12612855Sgabeblack@google.com  const sc_signal<int>& in;
12712855Sgabeblack@google.com  sc_signal<int>& out;
12812855Sgabeblack@google.com  sc_in<bool> clock;
12912855Sgabeblack@google.com
13012855Sgabeblack@google.com  asyncblock(sc_module_name NAME,
13112855Sgabeblack@google.com	     const sc_signal<int>& IN_,
13212855Sgabeblack@google.com	     sc_signal<int>& OUT_,
13312855Sgabeblack@google.com	     sc_signal_in_if<bool>& CLOCK)
13412855Sgabeblack@google.com    : in(IN_), out(OUT_)
13512855Sgabeblack@google.com  {
13612855Sgabeblack@google.com    clock(CLOCK);
13712855Sgabeblack@google.com    out = 0;
13812855Sgabeblack@google.com    SC_METHOD( entry );
13912855Sgabeblack@google.com    sensitive << clock;
14012855Sgabeblack@google.com  }
14112855Sgabeblack@google.com
14212855Sgabeblack@google.com  void entry()
14312855Sgabeblack@google.com  {
14412855Sgabeblack@google.com    if (clock.posedge()) {
14512855Sgabeblack@google.com      out = in;
14612855Sgabeblack@google.com      cout << "AsyncBlock: Value read = " << in.read() << endl;
14712855Sgabeblack@google.com    }
14812855Sgabeblack@google.com    else {
14912855Sgabeblack@google.com      cout << "Seen other edge" << endl;
15012855Sgabeblack@google.com    }
15112855Sgabeblack@google.com  }
15212855Sgabeblack@google.com};
15312855Sgabeblack@google.com
15412855Sgabeblack@google.com
15512855Sgabeblack@google.comint
15612855Sgabeblack@google.comsc_main(int ac, char *av[])
15712855Sgabeblack@google.com{
15812855Sgabeblack@google.com  sc_signal<int> a, b, c;
15912855Sgabeblack@google.com
16012855Sgabeblack@google.com  sc_clock clock("Clock", 20, SC_NS, 0.5);
16112855Sgabeblack@google.com
16212855Sgabeblack@google.com  syncproc P1("P1", clock, a, b, c);
16312855Sgabeblack@google.com  asyncproc P2("P2", c, a, clock);
16412855Sgabeblack@google.com  asyncblock P3("P3", c, b, clock);
16512855Sgabeblack@google.com
16612855Sgabeblack@google.com  sc_trace_file *tf = sc_create_vcd_trace_file("systemc");
16712855Sgabeblack@google.com  tf->set_time_unit(1, SC_NS);
16812855Sgabeblack@google.com  sc_trace(tf, a, "SYNC-IN1");
16912855Sgabeblack@google.com  sc_trace(tf, b, "SYNC-IN2");
17012855Sgabeblack@google.com  sc_trace(tf, c, "SYNC2-OUT");
17112855Sgabeblack@google.com  sc_trace(tf, clock, "Clock");
17212855Sgabeblack@google.com
17312855Sgabeblack@google.com  sc_start(160, SC_NS);
17412855Sgabeblack@google.com  return 0;
17512855Sgabeblack@google.com
17612855Sgabeblack@google.com}
177