main.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  main.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38/* Main file for parametric adder simulation */
39
40#include "paramadd.h"
41#include "stim.h"
42
43int sc_main(int ac, char *av[])
44{
45  // Parameter Settings
46  int width = 4;
47
48  // Signal Instantiation
49  sc_signal<bool> reset;
50  signal_bool_vector a ("Operand1");
51  signal_bool_vector b ("Operand2");
52  sc_signal<bool> cin;
53  sc_signal<bool> ready;
54  signal_bool_vector sum ("Sum");
55  sc_signal<bool> co;
56  sc_signal<bool> done;
57
58  // Clock Instantiation
59  sc_clock clk ("Clock", 10, SC_NS, 0.5, 0, SC_NS);
60
61  // Process Instantiation
62  paramadd D1("D1", clk, reset, a, b, cin, ready, sum,
63	      co, done, width);
64  stim T1("T1", clk, done, reset, a, b, cin,
65	  ready, width);
66
67  // Simulation Run Control
68  sc_start();
69  return 0;
70}
71