test3.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test3.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38#include "systemc.h"
39
40SC_MODULE( p1 )
41{
42  SC_HAS_PROCESS( p1 );
43
44  sc_fifo<int>& b;
45
46  int init;
47
48  p1(sc_module_name name,
49     sc_fifo<int>& B,
50     int INIT)
51    : b(B)
52  {
53    init = INIT;
54    SC_THREAD( entry );
55    // sensitive << b;
56  }
57
58  void entry() {
59    // wait();
60    int i = init;
61    // wait();
62    while (true) {
63      int j = b.read();
64      cout << " Value read = " << j << endl;
65      // wait();
66    }
67  }
68};
69
70SC_MODULE( p2 )
71{
72  SC_HAS_PROCESS( p2 );
73
74  sc_in<bool> clk;
75
76  sc_fifo<int>& a;
77
78  int init;
79
80  p2 (sc_module_name name,
81      sc_signal_in_if<bool>& CLK,
82      sc_fifo<int>& A,
83      int INIT)
84    : a(A)
85  {
86    clk(CLK);
87	SC_CTHREAD( entry, clk.pos() );
88    init = INIT;
89  }
90
91  void entry() {
92    int i = init;
93    wait();
94    while (true) {
95      a.write(i);
96      cout << "Value sent = " << i << endl;
97      wait(); i++;
98    }
99  }
100};
101
102int sc_main(int ac, char *av[])
103{
104  sc_fifo<int> a(2), b(2);
105  sc_clock clock("Clock", 20, SC_NS);
106
107  p1 Proc1("Proc1", a, 10);
108  p2 Proc2("Proc2", clock, a, 129);
109
110  // sc_start(500, SC_NS);
111  sc_start(250, SC_NS);
112
113  return 0;
114}
115