blast2.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  blast2.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38#include "systemc.h"
39
40SC_MODULE( array )
41{
42    SC_HAS_PROCESS( array );
43
44    sc_in_clk clk;
45
46    const sc_signal<bool>& reset;
47    const sc_signal<char>& a;
48    const sc_signal<char>& b;
49          sc_signal<short>& c;
50
51    char mem[9];
52    sc_unsigned i, j;
53
54    array( sc_module_name NAME,
55           sc_clock& CLK,
56           const sc_signal<bool>& RESET,
57           const sc_signal<char>& A,
58           const sc_signal<char>& B,
59                 sc_signal<short>& C )
60        :
61          reset(RESET), a(A), b(B), c(C),
62          i(2), j(2)
63    {
64        clk(CLK);
65		SC_CTHREAD( entry, clk );
66        reset_signal_is(reset,true);
67    }
68    void entry();
69};
70
71void
72array::entry()
73{
74    i = 2;
75    j = 1;
76    mem[i.to_uint()] = a.read();
77    mem[j.to_uint()] = b.read();
78    mem[7] = i.to_uint();
79    mem[8] = j.to_uint();
80    mem[mem[7]] = a + 1;
81    mem[mem[8]] = b - 2;
82    c = mem[i.to_uint()] * mem[j.to_uint()];
83    wait();
84}
85
86int sc_main(int argc, char* argv[] )
87{
88	return 0;
89}
90