test.vcd revision 12855:588919e0e4aa
1
2$timescale
3     1 ps
4$end
5
6$scope module SystemC $end
7$var wire    1  aaaaa  clk1       $end
8$var wire    1  aaaab  clk2       $end
9$upscope $end
10$enddefinitions  $end
11
12$comment
13All initial values are dumped below at time 0 sec = 0 timescale units.
14$end
15
16$dumpvars
170aaaaa
180aaaab
19$end
20
21#3000
221aaaab
23
24#5000
251aaaaa
26
27#9000
280aaaab
29
30#10000
310aaaaa
32
33#15000
341aaaaa
351aaaab
36
37#20000
380aaaaa
39
40#21000
410aaaab
42
43#25000
441aaaaa
45
46#27000
471aaaab
48
49#30000
50