test.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38//
39// Demo Code for SystemC 2.0 Casting Problem
40//
41// Rob Slater
42// Motorola, Inc.
43// r.slater@motorola.com
44//
45//
46// Compile this file with:
47//   g++ -Wall
48// (use g++ version 2.95.2)
49//
50// With SystemC 1.0.2 the file compiles and prints
51// "a = 10" to stdout.
52//
53// With SystemC 2.0 (release) line 32 fails compilation
54// with the error:
55//   sc_main.cc:32: `const class sc_uint<16>' used where a `unsigned int' was expected
56//
57
58#include <systemc.h>
59
60// sc_uint<16> unused;
61
62SC_MODULE(Test)
63{
64  sc_in_clk clk;
65  sc_in<sc_uint<16> > in;
66
67  void meth()
68  {
69    unsigned int a;
70
71    a = in.read();
72    cout << "a = " << a << endl;
73
74  }  // meth()
75
76  SC_CTOR(Test)
77  {
78    SC_METHOD(meth);
79    sensitive << clk.pos();
80
81  }  // SC_CTOR(Test)
82
83};  // SC_MODULE(Test)
84
85
86int sc_main(int argc, char *argv[])
87{
88  // Declare the clock
89  sc_clock clk("clk", 50, SC_NS, 0.5, 0, SC_NS, false);
90
91  sc_signal<sc_uint<16> > in;
92
93  Test test("test");
94  test.clk(clk);
95  test.in(in);
96
97  in.write(10);  // Initialize "in" with "10"
98  sc_start(75, SC_NS);  // Run for 1-1/2 clock cycles
99
100  return 0;
101
102}  // sc_main()
103