112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  manual_clock.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com#include "systemc.h"
3912855Sgabeblack@google.com#include "isaac.h"
4012855Sgabeblack@google.com
4112855Sgabeblack@google.comQTIsaac<8> rng;		// Platform independent random number generator.
4212855Sgabeblack@google.com
4312855Sgabeblack@google.comSC_MODULE( proc1 )
4412855Sgabeblack@google.com{
4512855Sgabeblack@google.com    SC_HAS_PROCESS( proc1 );
4612855Sgabeblack@google.com
4712855Sgabeblack@google.com    sc_in_clk clk;
4812855Sgabeblack@google.com
4912855Sgabeblack@google.com    sc_in<bool>    a;
5012855Sgabeblack@google.com    sc_in<bool>    b;
5112855Sgabeblack@google.com    sc_inout<bool> c;
5212855Sgabeblack@google.com
5312855Sgabeblack@google.com    proc1( sc_module_name NAME,
5412855Sgabeblack@google.com           sc_signal_in_if<bool>& CLK,
5512855Sgabeblack@google.com           sc_signal<bool>& A,
5612855Sgabeblack@google.com           sc_signal<bool>& B,
5712855Sgabeblack@google.com           sc_signal<bool>& C )
5812855Sgabeblack@google.com    {
5912855Sgabeblack@google.com        clk( CLK );
6012855Sgabeblack@google.com        a(A); b(B); c(C);
6112855Sgabeblack@google.com		SC_CTHREAD( entry, clk.pos() );
6212855Sgabeblack@google.com    }
6312855Sgabeblack@google.com
6412855Sgabeblack@google.com    void entry()
6512855Sgabeblack@google.com    {
6612855Sgabeblack@google.com        while (true) {
6712855Sgabeblack@google.com            wait();
6812855Sgabeblack@google.com            c = a.read() && b.read();
6912855Sgabeblack@google.com            wait();
7012855Sgabeblack@google.com	    cout << sc_time_stamp() << " P1(a&&b):: C = " << c.read()
7112855Sgabeblack@google.com		<< endl;
7212855Sgabeblack@google.com            c = a.read() || b.read();
7312855Sgabeblack@google.com            wait();
7412855Sgabeblack@google.com	    cout << sc_time_stamp() << " P1(a||b):: C = " << c.read()
7512855Sgabeblack@google.com		<< endl;
7612855Sgabeblack@google.com            c = a ^ b;
7712855Sgabeblack@google.com        }
7812855Sgabeblack@google.com    }
7912855Sgabeblack@google.com};
8012855Sgabeblack@google.com
8112855Sgabeblack@google.comSC_MODULE( proc2 )
8212855Sgabeblack@google.com{
8312855Sgabeblack@google.com    SC_HAS_PROCESS( proc2 );
8412855Sgabeblack@google.com
8512855Sgabeblack@google.com    sc_in_clk clk;
8612855Sgabeblack@google.com
8712855Sgabeblack@google.com    sc_in<bool>    a;
8812855Sgabeblack@google.com    sc_in<bool>    b;
8912855Sgabeblack@google.com    sc_inout<bool> c;
9012855Sgabeblack@google.com
9112855Sgabeblack@google.com    proc2( sc_module_name NAME,
9212855Sgabeblack@google.com           sc_signal_in_if<bool>& CLK,
9312855Sgabeblack@google.com           sc_signal<bool>& A,
9412855Sgabeblack@google.com           sc_signal<bool>& B,
9512855Sgabeblack@google.com           sc_signal<bool>& C )
9612855Sgabeblack@google.com    {
9712855Sgabeblack@google.com        clk( CLK );
9812855Sgabeblack@google.com        a(A); b(B); c(C);
9912855Sgabeblack@google.com		SC_CTHREAD( entry, clk.pos() );
10012855Sgabeblack@google.com    }
10112855Sgabeblack@google.com
10212855Sgabeblack@google.com    void entry()
10312855Sgabeblack@google.com    {
10412855Sgabeblack@google.com        while (true) {
10512855Sgabeblack@google.com            wait();
10612855Sgabeblack@google.com            c = ! (a.read() && b.read());
10712855Sgabeblack@google.com            wait();
10812855Sgabeblack@google.com	    cout << sc_time_stamp() << " P2(a&&b):: C = " << c.read()
10912855Sgabeblack@google.com		<< endl;
11012855Sgabeblack@google.com            c = ! (a.read() || b.read());
11112855Sgabeblack@google.com            wait();
11212855Sgabeblack@google.com	    cout << sc_time_stamp() << " P2(a||b):: C = " << c.read()
11312855Sgabeblack@google.com		<< endl;
11412855Sgabeblack@google.com            c = ! (a ^ b);
11512855Sgabeblack@google.com        }
11612855Sgabeblack@google.com    }
11712855Sgabeblack@google.com};
11812855Sgabeblack@google.com
11912855Sgabeblack@google.com// comparator
12012855Sgabeblack@google.comSC_MODULE( proc3 )
12112855Sgabeblack@google.com{
12212855Sgabeblack@google.com    SC_HAS_PROCESS( proc3 );
12312855Sgabeblack@google.com
12412855Sgabeblack@google.com    sc_in<bool>  a;
12512855Sgabeblack@google.com    sc_in<bool>  b;
12612855Sgabeblack@google.com    sc_out<bool> c;
12712855Sgabeblack@google.com    sc_out<bool> d;
12812855Sgabeblack@google.com
12912855Sgabeblack@google.com    proc3( sc_module_name NAME,
13012855Sgabeblack@google.com           sc_signal<bool>& A,
13112855Sgabeblack@google.com           sc_signal<bool>& B,
13212855Sgabeblack@google.com           sc_signal<bool>& C,
13312855Sgabeblack@google.com           sc_signal<bool>& D )
13412855Sgabeblack@google.com    {
13512855Sgabeblack@google.com        a(A); b(B); c(C); d(D);
13612855Sgabeblack@google.com        SC_METHOD( entry );
13712855Sgabeblack@google.com        sensitive << a << b;
13812855Sgabeblack@google.com    }
13912855Sgabeblack@google.com
14012855Sgabeblack@google.com    void entry()
14112855Sgabeblack@google.com    {
14212855Sgabeblack@google.com        c = (a == b);
14312855Sgabeblack@google.com        d = (a != b);
14412855Sgabeblack@google.com    }
14512855Sgabeblack@google.com};
14612855Sgabeblack@google.com
14712855Sgabeblack@google.comint
14812855Sgabeblack@google.comsc_main( int argc, char* argv[] )
14912855Sgabeblack@google.com{
15012855Sgabeblack@google.com    sc_signal<bool> clk1("clk1");
15112855Sgabeblack@google.com    sc_signal<bool> clk2("clk2");
15212855Sgabeblack@google.com
15312855Sgabeblack@google.com    sc_signal<bool> a("a"), b("b");
15412855Sgabeblack@google.com    sc_signal<bool> p("p"), q("q");
15512855Sgabeblack@google.com    sc_signal<bool> zero("zero"), one("one");
15612855Sgabeblack@google.com
15712855Sgabeblack@google.com    proc1 p1( "p1", clk1, a, b, p );
15812855Sgabeblack@google.com    proc2 p2( "p2", clk2, a, b, q );
15912855Sgabeblack@google.com    proc3 p3( "p3", p, q, zero, one );
16012855Sgabeblack@google.com
16112855Sgabeblack@google.com    sc_start(0, SC_NS);
16212855Sgabeblack@google.com    for (double t = 0; t < 0.00001; t += 1e-9) {
16312855Sgabeblack@google.com        clk1 = 1;
16412855Sgabeblack@google.com        clk2 = 1;
16512855Sgabeblack@google.com        a = rng.rand() & 16;
16612855Sgabeblack@google.com        b = rng.rand() & 32;
16712855Sgabeblack@google.com        sc_start( 1, SC_NS );
16812855Sgabeblack@google.com        clk1 = 0;
16912855Sgabeblack@google.com        clk2 = 0;
17012855Sgabeblack@google.com        sc_start( 1, SC_NS );
17112855Sgabeblack@google.com    }
17212855Sgabeblack@google.com
17312855Sgabeblack@google.com    return 0;
17412855Sgabeblack@google.com}
175