112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  hshake2.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com#include "systemc.h"
3912855Sgabeblack@google.com
4012855Sgabeblack@google.comSC_MODULE( proc1 )
4112855Sgabeblack@google.com{
4212855Sgabeblack@google.com  SC_HAS_PROCESS( proc1 );
4312855Sgabeblack@google.com
4412855Sgabeblack@google.com  sc_in_clk clk;
4512855Sgabeblack@google.com
4612855Sgabeblack@google.com  sc_fifo<int>& in;
4712855Sgabeblack@google.com  sc_fifo<bool>& done;
4812855Sgabeblack@google.com  sc_fifo<int>& out;
4912855Sgabeblack@google.com  sc_fifo<bool>& ready;
5012855Sgabeblack@google.com
5112855Sgabeblack@google.com  // Constructor
5212855Sgabeblack@google.com  proc1( sc_module_name NAME,
5312855Sgabeblack@google.com	 sc_clock& CLOCK,
5412855Sgabeblack@google.com	 sc_fifo<int>& IN_,
5512855Sgabeblack@google.com	 sc_fifo<bool>& DONE,
5612855Sgabeblack@google.com	 sc_fifo<int>& OUT_,
5712855Sgabeblack@google.com	 sc_fifo<bool>& READY )
5812855Sgabeblack@google.com    : in(IN_), done(DONE), out(OUT_), ready(READY)
5912855Sgabeblack@google.com  {
6012855Sgabeblack@google.com    clk(CLOCK);
6112855Sgabeblack@google.com	SC_THREAD( entry );
6212855Sgabeblack@google.com	sensitive << clk.pos();
6312855Sgabeblack@google.com  }
6412855Sgabeblack@google.com
6512855Sgabeblack@google.com  void entry() {
6612855Sgabeblack@google.com    ready.write(1);
6712855Sgabeblack@google.com    bool done_ = done.read();
6812855Sgabeblack@google.com    cout << "Done is " << done_ << endl;
6912855Sgabeblack@google.com    for (int i=0; i < 100; i++) {
7012855Sgabeblack@google.com      out.write(i);
7112855Sgabeblack@google.com      int in_ = in.read();
7212855Sgabeblack@google.com      cout << "Input is " << in_ << endl;
7312855Sgabeblack@google.com    }
7412855Sgabeblack@google.com    ready.write(0);
7512855Sgabeblack@google.com  }
7612855Sgabeblack@google.com};
7712855Sgabeblack@google.com
7812855Sgabeblack@google.comSC_MODULE( proc2 )
7912855Sgabeblack@google.com{
8012855Sgabeblack@google.com  SC_HAS_PROCESS( proc2 );
8112855Sgabeblack@google.com
8212855Sgabeblack@google.com  sc_in_clk clk;
8312855Sgabeblack@google.com
8412855Sgabeblack@google.com  sc_fifo<int>& in;
8512855Sgabeblack@google.com  sc_fifo<bool>& done;
8612855Sgabeblack@google.com  sc_fifo<int>& out;
8712855Sgabeblack@google.com  sc_fifo<bool>& ready;
8812855Sgabeblack@google.com
8912855Sgabeblack@google.com  // Constructor
9012855Sgabeblack@google.com  proc2( sc_module_name NAME,
9112855Sgabeblack@google.com	 sc_clock& CLOCK,
9212855Sgabeblack@google.com	 sc_fifo<int>& IN_,
9312855Sgabeblack@google.com	 sc_fifo<bool>& DONE,
9412855Sgabeblack@google.com	 sc_fifo<int>& OUT_,
9512855Sgabeblack@google.com	 sc_fifo<bool>& READY)
9612855Sgabeblack@google.com    : in(IN_), done(DONE), out(OUT_), ready(READY)
9712855Sgabeblack@google.com  {
9812855Sgabeblack@google.com    clk(CLOCK);
9912855Sgabeblack@google.com	SC_THREAD( entry );
10012855Sgabeblack@google.com	sensitive << clk.pos();
10112855Sgabeblack@google.com  }
10212855Sgabeblack@google.com
10312855Sgabeblack@google.com  void entry() {
10412855Sgabeblack@google.com    ready.write(1);
10512855Sgabeblack@google.com    bool done_ = done.read();
10612855Sgabeblack@google.com    cout << "Proc2::Done is " << done_ << endl;
10712855Sgabeblack@google.com    for (int i=0; i < 100; i++) {
10812855Sgabeblack@google.com      out.write(i);
10912855Sgabeblack@google.com      int in_ = in.read();
11012855Sgabeblack@google.com      cout << "Proc2::Input is " << in_ << endl;
11112855Sgabeblack@google.com    }
11212855Sgabeblack@google.com    ready.write(0);
11312855Sgabeblack@google.com  }
11412855Sgabeblack@google.com};
11512855Sgabeblack@google.com
11612855Sgabeblack@google.comint sc_main(int ac, char *av[])
11712855Sgabeblack@google.com{
11812855Sgabeblack@google.com  sc_fifo<bool> a(3);
11912855Sgabeblack@google.com  sc_fifo<bool> b(10);
12012855Sgabeblack@google.com  sc_fifo<int> c("C", 10);
12112855Sgabeblack@google.com  sc_fifo<int> d("D", 2);
12212855Sgabeblack@google.com
12312855Sgabeblack@google.com  sc_clock clock("CLK", 20, SC_NS);
12412855Sgabeblack@google.com
12512855Sgabeblack@google.com  proc1 p1("P1", clock, c, a, d, b);
12612855Sgabeblack@google.com  proc2 p2("P2", clock, d, b, c, a);
12712855Sgabeblack@google.com
12812855Sgabeblack@google.com  sc_start(1000, SC_NS);
12912855Sgabeblack@google.com  return 0;
13012855Sgabeblack@google.com}
131