112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  main.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Stan Liao, Synopsys, Inc., 1999-10-22
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com
3912855Sgabeblack@google.com#include "stimulus.h"
4012855Sgabeblack@google.com#include "display.h"
4112855Sgabeblack@google.com#include "datatypes.h"
4212855Sgabeblack@google.com
4312855Sgabeblack@google.comint sc_main (int argc , char *argv[]) {
4412855Sgabeblack@google.com  sc_clock        clock;
4512855Sgabeblack@google.com  sc_signal<bool> reset;
4612855Sgabeblack@google.com  sc_signal_bool_vector       stimulus_line1;
4712855Sgabeblack@google.com  sc_signal_bool_vector       stimulus_line2;
4812855Sgabeblack@google.com  sc_signal<long>             stimulus_line3;
4912855Sgabeblack@google.com  sc_signal<int>              stimulus_line4;
5012855Sgabeblack@google.com  sc_signal<short>            stimulus_line5;
5112855Sgabeblack@google.com  sc_signal<char>             stimulus_line6;
5212855Sgabeblack@google.com  sc_signal<char>             stimulus_line7;
5312855Sgabeblack@google.com  sc_signal<bool>             input_valid;
5412855Sgabeblack@google.com  sc_signal<bool>             ack;
5512855Sgabeblack@google.com  sc_signal<bool>             output_valid;
5612855Sgabeblack@google.com  sc_signal_bool_vector       result_line1;
5712855Sgabeblack@google.com  sc_signal_bool_vector       result_line2;
5812855Sgabeblack@google.com  sc_signal<long>             result_line3;
5912855Sgabeblack@google.com  sc_signal<int>              result_line4;
6012855Sgabeblack@google.com  sc_signal<short>            result_line5;
6112855Sgabeblack@google.com  sc_signal<char>             result_line6;
6212855Sgabeblack@google.com
6312855Sgabeblack@google.com  input_valid = 0;
6412855Sgabeblack@google.com  output_valid = 0;
6512855Sgabeblack@google.com
6612855Sgabeblack@google.com  stimulus stimulus1("stimulus_block",
6712855Sgabeblack@google.com                      clock,
6812855Sgabeblack@google.com		      reset,
6912855Sgabeblack@google.com                      stimulus_line1,
7012855Sgabeblack@google.com                      stimulus_line2,
7112855Sgabeblack@google.com                      stimulus_line3,
7212855Sgabeblack@google.com                      stimulus_line4,
7312855Sgabeblack@google.com                      stimulus_line5,
7412855Sgabeblack@google.com                      stimulus_line6,
7512855Sgabeblack@google.com                      stimulus_line7,
7612855Sgabeblack@google.com		      input_valid,
7712855Sgabeblack@google.com                      ack);
7812855Sgabeblack@google.com
7912855Sgabeblack@google.com  datatypes datatypes1( "process_body",
8012855Sgabeblack@google.com                       clock,
8112855Sgabeblack@google.com		       reset,
8212855Sgabeblack@google.com                       stimulus_line1,
8312855Sgabeblack@google.com                       stimulus_line2,
8412855Sgabeblack@google.com                       stimulus_line3,
8512855Sgabeblack@google.com                       stimulus_line4,
8612855Sgabeblack@google.com                       stimulus_line5,
8712855Sgabeblack@google.com                       stimulus_line6,
8812855Sgabeblack@google.com                       stimulus_line7,
8912855Sgabeblack@google.com		       input_valid,
9012855Sgabeblack@google.com                       ack,
9112855Sgabeblack@google.com                       result_line1,
9212855Sgabeblack@google.com                       result_line2,
9312855Sgabeblack@google.com                       result_line3,
9412855Sgabeblack@google.com                       result_line4,
9512855Sgabeblack@google.com                       result_line5,
9612855Sgabeblack@google.com                       result_line6,
9712855Sgabeblack@google.com		       output_valid);
9812855Sgabeblack@google.com
9912855Sgabeblack@google.com  display  display1( "display_block",
10012855Sgabeblack@google.com                       clock,
10112855Sgabeblack@google.com		       result_line1,
10212855Sgabeblack@google.com		       result_line2,
10312855Sgabeblack@google.com		       result_line3,
10412855Sgabeblack@google.com		       result_line4,
10512855Sgabeblack@google.com                       result_line5,
10612855Sgabeblack@google.com                       result_line6,
10712855Sgabeblack@google.com		       output_valid);
10812855Sgabeblack@google.com
10912855Sgabeblack@google.com    sc_start();
11012855Sgabeblack@google.com    return 0;
11112855Sgabeblack@google.com}
11212855Sgabeblack@google.com
11312855Sgabeblack@google.com// EOF
114