test01.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test01.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38// test of SC_METHOD and SC_THREAD (but not SC_CTHREAD) initialization
39
40#include "systemc.h"
41
42SC_MODULE( mod_a ) {
43
44    sc_in_clk clk;
45
46    void main_action_method()
47    {
48        cout << sc_delta_count()
49             << " main_action_method called" << endl;
50    }
51
52    void main_action_thread()
53    {
54        while( true ) {
55            cout << sc_delta_count()
56                 << " main_action_thread called" << endl;
57            wait(); // for what?
58        }
59    }
60
61    void main_action_cthread()
62    {
63        while( true ) {
64            cout << sc_delta_count()
65                 << " main_action_cthread called" << endl;
66            wait();
67        }
68    }
69
70    SC_CTOR( mod_a )
71    {
72        SC_METHOD( main_action_method );
73        SC_THREAD( main_action_thread );
74        SC_CTHREAD( main_action_cthread, clk.pos() );
75    }
76};
77
78int
79sc_main( int, char*[] )
80{
81    sc_clock clk;
82
83    mod_a a( "a" );
84    a.clk( clk );
85
86    sc_start(1, SC_NS);
87
88    return 0;
89}
90