test04.cpp revision 12855:588919e0e4aa
1#include "systemc.h"
2
3SC_MODULE(X)
4{
5	SC_CTOR(X)
6	{
7		SC_THREAD(able);
8		sensitive << clk.pos();
9	}
10
11	void able()
12	{
13		for (;;)
14		{
15			wait();
16			sensitive << clk.posedge_event();
17			cout << "able: " << sc_time_stamp() << endl;
18		}
19	}
20	sc_in_clk clk;
21};
22
23int sc_main(int argc, char* argv[])
24{
25	sc_clock clock;
26	X        x("x");
27	x.clk(clock);
28
29	sc_start(100, SC_NS);
30	return 0;
31}
32