test03.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test03.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-03-22
25                   Ucar Aziz, Synopsys, Inc.
26
27 *****************************************************************************/
28
29/*****************************************************************************
30
31  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
32  changes you are making here.
33
34      Name, Affiliation, Date:
35  Description of Modification:
36
37 *****************************************************************************/
38
39// $Log: test03.cpp,v $
40// Revision 1.1.1.1  2006/12/15 20:26:04  acg
41// systemc_tests-2.3
42//
43// Revision 1.2  2006/01/19 00:47:04  acg
44// Andy Goodrich: Added CVS logging.
45//
46
47// test of sc_sensitive_pos::operator(<<)()(sc_inout<bool>)
48
49#include "systemc.h"
50
51SC_MODULE( mod_a )
52{
53    sc_in<bool> in1;
54    sc_in<bool> in2;
55
56    void main_action1()
57    {
58	int i = 0;
59	while( true ) {
60	    wait();
61	    cout << "i = " << i << endl;
62	    i ++;
63	}
64    }
65
66    void main_action2()
67    {
68	int j = 0;
69	while( true ) {
70	    wait();
71	    cout << "j = " << j << endl;
72	    j ++;
73	}
74    }
75
76    SC_CTOR( mod_a )
77    {
78	SC_THREAD( main_action1 );
79	sensitive_pos( in1 );
80	SC_THREAD( main_action2 );
81	sensitive_pos << in2;
82    }
83};
84
85SC_MODULE( mod_b )
86{
87    sc_in<bool>    clk;
88    sc_inout<bool> in1;
89
90    void main_action()
91    {
92	bool j = true;
93	while( true ) {
94	    wait();
95	    in1->write( j );
96	    j = !j;
97	}
98    }
99
100    SC_CTOR( mod_b )
101    {
102	SC_CTHREAD( main_action, clk );
103    }
104};
105
106int
107sc_main( int, char*[] )
108{
109    sc_clock clk1( "clk", 5, SC_NS );
110    sc_clock clk2( "clk1", 5, SC_NS );
111    sc_signal<bool> sig_1;
112    sc_signal<bool> sig_2;
113    mod_a a( "a" );
114    mod_b b1( "b1" );
115    mod_b b2( "b2" );
116
117    b1.clk( clk1 );
118    b1.in1( sig_1 );
119    b2.clk( clk2 );
120    b2.in1( sig_2 );
121
122    a.in1( sig_1 );
123    a.in2( sig_2 );
124
125    sc_start( 100, SC_NS );
126
127    return 0;
128}
129