test01.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test01.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-03-22
25                   Ucar Aziz, Synopsys, Inc.
26
27 *****************************************************************************/
28
29/*****************************************************************************
30
31  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
32  changes you are making here.
33
34      Name, Affiliation, Date:
35  Description of Modification:
36
37 *****************************************************************************/
38
39// $Log: test01.cpp,v $
40// Revision 1.1.1.1  2006/12/15 20:26:04  acg
41// systemc_tests-2.3
42//
43// Revision 1.2  2006/01/19 00:46:58  acg
44// Andy Goodrich: Added CVS logging.
45//
46
47// test of sc_sensitive::operator()(sc_cthread_process*, sc_in(inout)<bool>)
48
49#include "systemc.h"
50
51
52SC_MODULE( mod_a )
53{
54    sc_in<bool>    clk;
55    sc_in<bool>    in1;
56
57    void main_action1()
58    {
59	int i = 0;
60	while( true ) {
61	    wait();
62	    cout << "i = " << i << endl;
63	    i ++;
64	}
65    }
66
67    void main_action2()
68    {
69	int j = 0;
70	while( true ) {
71	    wait();
72	    cout << "j = " << j << endl;
73	    j ++;
74	}
75    }
76
77    SC_CTOR(mod_a)
78    {
79	SC_CTHREAD( main_action1, clk );
80	SC_CTHREAD( main_action2, in1 );
81    }
82};
83
84SC_MODULE( mod_b )
85{
86    sc_in<bool>    clk;
87    sc_inout<bool> in1;
88
89    void main_action()
90    {
91	bool j = true;
92	while( true ) {
93	    wait();
94	    in1->write( j );
95	    j = !j;
96	}
97    }
98
99    SC_CTOR( mod_b )
100    {
101	SC_CTHREAD( main_action, clk );
102    }
103};
104
105int
106sc_main( int, char*[] )
107{
108    sc_clock clk( "clk", 10, SC_NS );
109    sc_clock clk1( "clk1", 5, SC_NS );
110    sc_signal<bool> channel;
111    mod_a a( "a" );
112    mod_b b( "b" );
113
114    b.clk( clk1 );
115    b.in1( channel );
116    a.clk( clk );
117    a.in1( channel );
118
119    sc_start( 100, SC_NS );
120
121    return 0;
122}
123