test02.cpp revision 12855:588919e0e4aa
1#include "systemc.h"
2
3SC_MODULE(TB)
4{
5	SC_CTOR(TB)
6	{
7		SC_METHOD(sync);
8		sensitive << m_clk.pos();
9		SC_METHOD(sync);
10		sensitive << m_clk.pos();
11	}
12	void sync()
13	{
14		sc_curr_proc_handle cpi =
15			sc_get_curr_simcontext()->get_curr_proc_info();
16		cout << sc_time_stamp() << ": " << cpi->process_handle->name() << endl;
17	}
18	sc_in_clk m_clk;
19};
20
21int sc_main(int argc,char **argv)
22{
23    sc_clock clock;
24	TB       tb("tb");
25
26	tb.m_clk(clock);
27	sc_start(2, SC_NS);
28
29	cerr << "Program completed" << endl;
30    return (0);
31}
32