112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test04.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com// test of the unique name generation for objects
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com#include "systemc.h"
4112855Sgabeblack@google.com
4212855Sgabeblack@google.comSC_MODULE( mod_a )
4312855Sgabeblack@google.com{
4412855Sgabeblack@google.com    sc_in<int> in;
4512855Sgabeblack@google.com    sc_out<int> out;
4612855Sgabeblack@google.com    SC_CTOR( mod_a ) {}
4712855Sgabeblack@google.com};
4812855Sgabeblack@google.com
4912855Sgabeblack@google.comSC_MODULE( mod_b )
5012855Sgabeblack@google.com{
5112855Sgabeblack@google.com    sc_out<int> out;
5212855Sgabeblack@google.com    sc_in<int>  in;
5312855Sgabeblack@google.com    SC_CTOR( mod_b ) {}
5412855Sgabeblack@google.com};
5512855Sgabeblack@google.com
5612855Sgabeblack@google.comSC_MODULE( mod_c )
5712855Sgabeblack@google.com{
5812855Sgabeblack@google.com    mod_a a;
5912855Sgabeblack@google.com    mod_b b;
6012855Sgabeblack@google.com    sc_signal<int> sig1;
6112855Sgabeblack@google.com    sc_signal<int> sig2;
6212855Sgabeblack@google.com    SC_CTOR( mod_c ) : a("a"), b("b")
6312855Sgabeblack@google.com    {
6412855Sgabeblack@google.com        a.in( sig1 );
6512855Sgabeblack@google.com        a.out( sig2 );
6612855Sgabeblack@google.com        b.out( sig1 );
6712855Sgabeblack@google.com        b.in( sig2 );
6812855Sgabeblack@google.com    }
6912855Sgabeblack@google.com};
7012855Sgabeblack@google.com
7112855Sgabeblack@google.comint
7212855Sgabeblack@google.comsc_main( int, char*[] )
7312855Sgabeblack@google.com{
7412855Sgabeblack@google.com    sc_signal<int> sig1;
7512855Sgabeblack@google.com    sc_signal<int> sig2;
7612855Sgabeblack@google.com    mod_a a("a");
7712855Sgabeblack@google.com    mod_b b("b");
7812855Sgabeblack@google.com    mod_c c("c");
7912855Sgabeblack@google.com    a.in( sig1 );
8012855Sgabeblack@google.com    a.out( sig2 );
8112855Sgabeblack@google.com    b.out( sig1 );
8212855Sgabeblack@google.com    b.in( sig2 );
8312855Sgabeblack@google.com
8412855Sgabeblack@google.com    sc_start(0, SC_NS);
8512855Sgabeblack@google.com
8612855Sgabeblack@google.com    cout << sig1.name() << endl;
8712855Sgabeblack@google.com    cout << sig2.name() << endl;
8812855Sgabeblack@google.com    cout << a.name() << endl;
8912855Sgabeblack@google.com    cout << b.name() << endl;
9012855Sgabeblack@google.com    cout << c.name() << endl;
9112855Sgabeblack@google.com    cout << a.in.name() << endl;
9212855Sgabeblack@google.com    cout << a.out.name() << endl;
9312855Sgabeblack@google.com    cout << b.out.name() << endl;
9412855Sgabeblack@google.com    cout << b.in.name() << endl;
9512855Sgabeblack@google.com    cout << c.a.name() << endl;
9612855Sgabeblack@google.com    cout << c.b.name() << endl;
9712855Sgabeblack@google.com    cout << c.sig1.name() << endl;
9812855Sgabeblack@google.com    cout << c.sig2.name() << endl;
9912855Sgabeblack@google.com    cout << c.a.in.name() << endl;
10012855Sgabeblack@google.com    cout << c.a.out.name() << endl;
10112855Sgabeblack@google.com    cout << c.b.out.name() << endl;
10212855Sgabeblack@google.com    cout << c.b.in.name() << endl;
10312855Sgabeblack@google.com
10412855Sgabeblack@google.com    return 0;
10512855Sgabeblack@google.com}
106