112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test01.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-03-22
2512855Sgabeblack@google.com                   Ucar Aziz, Synopsys, Inc.
2612855Sgabeblack@google.com
2712855Sgabeblack@google.com *****************************************************************************/
2812855Sgabeblack@google.com
2912855Sgabeblack@google.com/*****************************************************************************
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3212855Sgabeblack@google.com  changes you are making here.
3312855Sgabeblack@google.com
3412855Sgabeblack@google.com      Name, Affiliation, Date: Andy Goodrich, Forte Design Systems, 2005-11-10
3512855Sgabeblack@google.com  Description of Modification: Removal of Lambda exressions
3612855Sgabeblack@google.com
3712855Sgabeblack@google.com *****************************************************************************/
3812855Sgabeblack@google.com// $Log: test01.cpp,v $
3912855Sgabeblack@google.com// Revision 1.1.1.1  2006/12/15 20:26:04  acg
4012855Sgabeblack@google.com// systemc_tests-2.3
4112855Sgabeblack@google.com//
4212855Sgabeblack@google.com// Revision 1.2  2006/01/24 21:04:54  acg
4312855Sgabeblack@google.com//  Andy Goodrich: replacement of deprecated features with their non-deprecated
4412855Sgabeblack@google.com//  counterparts.
4512855Sgabeblack@google.com//
4612855Sgabeblack@google.com
4712855Sgabeblack@google.com// test of sc_lambda-style wait_until w/normal loop.
4812855Sgabeblack@google.com
4912855Sgabeblack@google.com#include "systemc.h"
5012855Sgabeblack@google.com
5112855Sgabeblack@google.comSC_MODULE( mod_a )
5212855Sgabeblack@google.com{
5312855Sgabeblack@google.com    sc_in_clk   clk1;
5412855Sgabeblack@google.com    sc_in<bool> clk2;
5512855Sgabeblack@google.com
5612855Sgabeblack@google.com    void main_action()
5712855Sgabeblack@google.com    {
5812855Sgabeblack@google.com	int i = 0;
5912855Sgabeblack@google.com
6012855Sgabeblack@google.com	while( true ) {
6112855Sgabeblack@google.com	    do { wait(); } while ( !(clk2 == true) );
6212855Sgabeblack@google.com	    cout << "i = " << i << endl;
6312855Sgabeblack@google.com	    i ++;
6412855Sgabeblack@google.com	    wait();
6512855Sgabeblack@google.com	}
6612855Sgabeblack@google.com    }
6712855Sgabeblack@google.com
6812855Sgabeblack@google.com    SC_CTOR( mod_a )
6912855Sgabeblack@google.com    {
7012855Sgabeblack@google.com	SC_CTHREAD( main_action, clk1.pos() );
7112855Sgabeblack@google.com    }
7212855Sgabeblack@google.com};
7312855Sgabeblack@google.com
7412855Sgabeblack@google.comint
7512855Sgabeblack@google.comsc_main( int, char*[] )
7612855Sgabeblack@google.com{
7712855Sgabeblack@google.com    mod_a a( "a" );
7812855Sgabeblack@google.com    sc_clock clk1( "clk1", 0.1, SC_NS );
7912855Sgabeblack@google.com    sc_clock clk2( "clk2", 0.5, SC_NS );
8012855Sgabeblack@google.com    a.clk1( clk1 );
8112855Sgabeblack@google.com    a.clk2( clk2 );
8212855Sgabeblack@google.com
8312855Sgabeblack@google.com    sc_start( 3, SC_NS );
8412855Sgabeblack@google.com
8512855Sgabeblack@google.com    return 0;
8612855Sgabeblack@google.com}
87