112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test12.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com// test of next_trigger() for dynamic sensitivity; last call counts
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com#include "systemc.h"
4112855Sgabeblack@google.com
4212855Sgabeblack@google.comSC_MODULE( mod_a )
4312855Sgabeblack@google.com{
4412855Sgabeblack@google.com    sc_event e1;
4512855Sgabeblack@google.com    sc_event e2;
4612855Sgabeblack@google.com    sc_event e3;
4712855Sgabeblack@google.com    sc_event e_ack;
4812855Sgabeblack@google.com
4912855Sgabeblack@google.com    void write( const char* msg )
5012855Sgabeblack@google.com    {
5112855Sgabeblack@google.com        cout << sc_delta_count() << ":" << sc_time_stamp()
5212855Sgabeblack@google.com             << " " << msg << "\n";
5312855Sgabeblack@google.com    }
5412855Sgabeblack@google.com
5512855Sgabeblack@google.com    bool sender_first;
5612855Sgabeblack@google.com
5712855Sgabeblack@google.com    void sender()
5812855Sgabeblack@google.com    {
5912855Sgabeblack@google.com        next_trigger( SC_ZERO_TIME );
6012855Sgabeblack@google.com        if( sender_first ) {
6112855Sgabeblack@google.com            sender_first = false;
6212855Sgabeblack@google.com            return;
6312855Sgabeblack@google.com        }
6412855Sgabeblack@google.com
6512855Sgabeblack@google.com        e2.cancel();
6612855Sgabeblack@google.com        e3.cancel();
6712855Sgabeblack@google.com        e1.notify();
6812855Sgabeblack@google.com        e2.notify( SC_ZERO_TIME );
6912855Sgabeblack@google.com        e3.notify( 2, SC_NS );
7012855Sgabeblack@google.com        timed_out() ? write( "sender - timed out" )
7112855Sgabeblack@google.com                    : write( "sender" );
7212855Sgabeblack@google.com        next_trigger( 3, SC_NS, e_ack );
7312855Sgabeblack@google.com    }
7412855Sgabeblack@google.com
7512855Sgabeblack@google.com    int receiver_state;
7612855Sgabeblack@google.com
7712855Sgabeblack@google.com    void receiver()
7812855Sgabeblack@google.com    {
7912855Sgabeblack@google.com        sc_time t1( 1, SC_NS );
8012855Sgabeblack@google.com
8112855Sgabeblack@google.com        switch( receiver_state ) {
8212855Sgabeblack@google.com	case 0:
8312855Sgabeblack@google.com	    // test next_trigger(e)
8412855Sgabeblack@google.com	    cout << "*** next_trigger(e)\n";
8512855Sgabeblack@google.com
8612855Sgabeblack@google.com	    next_trigger( e1 );
8712855Sgabeblack@google.com	    break;
8812855Sgabeblack@google.com	case 1:
8912855Sgabeblack@google.com	    write( "receiver - e1" );
9012855Sgabeblack@google.com	    e_ack.notify();
9112855Sgabeblack@google.com	    next_trigger( e1 );
9212855Sgabeblack@google.com	    next_trigger( e2 );
9312855Sgabeblack@google.com	    break;
9412855Sgabeblack@google.com	case 2:
9512855Sgabeblack@google.com	    write( "receiver - e2" );
9612855Sgabeblack@google.com	    e_ack.notify();
9712855Sgabeblack@google.com	    next_trigger( e2 );
9812855Sgabeblack@google.com	    next_trigger( e3 );
9912855Sgabeblack@google.com	    break;
10012855Sgabeblack@google.com	case 3:
10112855Sgabeblack@google.com	    write( "receiver - e3" );
10212855Sgabeblack@google.com	    e_ack.notify();
10312855Sgabeblack@google.com
10412855Sgabeblack@google.com	    // test next_trigger(or_list)
10512855Sgabeblack@google.com	    cout << "*** next_trigger(or_list)\n";
10612855Sgabeblack@google.com
10712855Sgabeblack@google.com	    next_trigger( e3 );
10812855Sgabeblack@google.com	    next_trigger( e1 | e1 | e1 );
10912855Sgabeblack@google.com	    break;
11012855Sgabeblack@google.com	case 4:
11112855Sgabeblack@google.com	    write( "receiver - e1 | e1 | e1" );
11212855Sgabeblack@google.com	    e_ack.notify();
11312855Sgabeblack@google.com	    next_trigger( e1 | e1 | e1 );
11412855Sgabeblack@google.com	    next_trigger( e2 | e2 | e2 );
11512855Sgabeblack@google.com	    break;
11612855Sgabeblack@google.com	case 5:
11712855Sgabeblack@google.com	    write( "receiver - e2 | e2 | e2" );
11812855Sgabeblack@google.com	    e_ack.notify();
11912855Sgabeblack@google.com	    next_trigger( e2 | e2 | e2 );
12012855Sgabeblack@google.com	    next_trigger( e3 | e3 | e3 );
12112855Sgabeblack@google.com	    break;
12212855Sgabeblack@google.com	case 6:
12312855Sgabeblack@google.com	    write( "receiver - e3 | e3 | e3" );
12412855Sgabeblack@google.com	    e_ack.notify();
12512855Sgabeblack@google.com	    next_trigger( e3 | e3 | e3 );
12612855Sgabeblack@google.com	    next_trigger( e1 | e2 | e3 );
12712855Sgabeblack@google.com	    break;
12812855Sgabeblack@google.com	case 7:
12912855Sgabeblack@google.com	    write( "receiver - e1 | e2 | e3" );
13012855Sgabeblack@google.com	    e_ack.notify();
13112855Sgabeblack@google.com	    next_trigger( e1 | e2 | e3 );
13212855Sgabeblack@google.com	    next_trigger( e3 | e2 | e1 );
13312855Sgabeblack@google.com	    break;
13412855Sgabeblack@google.com	case 8:
13512855Sgabeblack@google.com	    write( "receiver - e3 | e2 | e1" );
13612855Sgabeblack@google.com	    e_ack.notify();
13712855Sgabeblack@google.com
13812855Sgabeblack@google.com	    // test next_trigger(and_list)
13912855Sgabeblack@google.com	    cout << "*** next_trigger(and_list)\n";
14012855Sgabeblack@google.com
14112855Sgabeblack@google.com	    next_trigger( e3 | e2 | e1 );
14212855Sgabeblack@google.com	    next_trigger( e1 & e1 & e1 );
14312855Sgabeblack@google.com	    break;
14412855Sgabeblack@google.com	case 9:
14512855Sgabeblack@google.com	    write( "receiver - e1 & e1 & e1" );
14612855Sgabeblack@google.com	    e_ack.notify();
14712855Sgabeblack@google.com	    next_trigger( e1 & e1 & e1 );
14812855Sgabeblack@google.com	    next_trigger( e2 & e2 & e2 );
14912855Sgabeblack@google.com	    break;
15012855Sgabeblack@google.com	case 10:
15112855Sgabeblack@google.com	    write( "receiver - e2 & e2 & e2" );
15212855Sgabeblack@google.com	    e_ack.notify();
15312855Sgabeblack@google.com	    next_trigger( e2 & e2 & e2 );
15412855Sgabeblack@google.com	    next_trigger( e3 & e3 & e3 );
15512855Sgabeblack@google.com	    break;
15612855Sgabeblack@google.com	case 11:
15712855Sgabeblack@google.com	    write( "receiver - e3 & e3 & e3" );
15812855Sgabeblack@google.com	    e_ack.notify();
15912855Sgabeblack@google.com	    next_trigger( e3 & e3 & e3 );
16012855Sgabeblack@google.com	    next_trigger( e1 & e2 & e3 );
16112855Sgabeblack@google.com	    break;
16212855Sgabeblack@google.com	case 12:
16312855Sgabeblack@google.com	    write( "receiver - e1 & e2 & e3" );
16412855Sgabeblack@google.com	    e_ack.notify();
16512855Sgabeblack@google.com	    next_trigger( e1 & e2 & e3 );
16612855Sgabeblack@google.com	    next_trigger( e3 & e2 & e1 );
16712855Sgabeblack@google.com	    break;
16812855Sgabeblack@google.com	case 13:
16912855Sgabeblack@google.com	    write( "receiver - e3 & e2 & e1" );
17012855Sgabeblack@google.com
17112855Sgabeblack@google.com	    // test next_trigger(t)
17212855Sgabeblack@google.com	    cout << "*** next_trigger(t)\n";
17312855Sgabeblack@google.com
17412855Sgabeblack@google.com	    next_trigger( e3 & e2 & e1 );
17512855Sgabeblack@google.com	    next_trigger( 0, SC_NS );
17612855Sgabeblack@google.com	    break;
17712855Sgabeblack@google.com	case 14:
17812855Sgabeblack@google.com	    write( "receiver - 0 ns" );
17912855Sgabeblack@google.com	    next_trigger( 0, SC_NS );
18012855Sgabeblack@google.com	    next_trigger( 1, SC_NS );
18112855Sgabeblack@google.com	    break;
18212855Sgabeblack@google.com	case 15:
18312855Sgabeblack@google.com	    write( "receiver - 1 ns" );
18412855Sgabeblack@google.com
18512855Sgabeblack@google.com	    e_ack.notify();
18612855Sgabeblack@google.com
18712855Sgabeblack@google.com	    // test next_trigger(t,e)
18812855Sgabeblack@google.com	    cout << "*** next_trigger(t,e)\n";
18912855Sgabeblack@google.com
19012855Sgabeblack@google.com	    next_trigger( 1, SC_NS );
19112855Sgabeblack@google.com	    next_trigger( 1, SC_NS, e1 );
19212855Sgabeblack@google.com	    break;
19312855Sgabeblack@google.com	case 16:
19412855Sgabeblack@google.com	    timed_out() ? write( "receiver - 1 ns | e1 - timed out" )
19512855Sgabeblack@google.com		        : write( "receiver - 1 ns | e1" );
19612855Sgabeblack@google.com	    e_ack.notify();
19712855Sgabeblack@google.com	    next_trigger( 1, SC_NS, e1 );
19812855Sgabeblack@google.com	    next_trigger( t1, e2 );
19912855Sgabeblack@google.com	    break;
20012855Sgabeblack@google.com	case 17:
20112855Sgabeblack@google.com	    timed_out() ? write( "receiver - 1 ns | e2 - timed out" )
20212855Sgabeblack@google.com                        : write( "receiver - 1 ns | e2" );
20312855Sgabeblack@google.com	    e_ack.notify();
20412855Sgabeblack@google.com	    next_trigger( t1, e2 );
20512855Sgabeblack@google.com	    next_trigger( 1, SC_NS, e3 );
20612855Sgabeblack@google.com	    break;
20712855Sgabeblack@google.com	case 18:
20812855Sgabeblack@google.com	    timed_out() ? write( "receiver - 1 ns | e3 - timed out" )
20912855Sgabeblack@google.com		        : write( "receiver - 1 ns | e3" );
21012855Sgabeblack@google.com	    e_ack.notify();
21112855Sgabeblack@google.com
21212855Sgabeblack@google.com	    // test next_trigger(t,or_list)
21312855Sgabeblack@google.com	    cout << "*** next_trigger(t,or_list)\n";
21412855Sgabeblack@google.com
21512855Sgabeblack@google.com	    next_trigger( 1, SC_NS, e3 );
21612855Sgabeblack@google.com	    next_trigger( t1, e1 | e2 | e3 );
21712855Sgabeblack@google.com	    break;
21812855Sgabeblack@google.com	case 19:
21912855Sgabeblack@google.com	    timed_out() ? write( "receiver - 1 ns | e1 | e2 | e3 - timed out" )
22012855Sgabeblack@google.com                        : write( "receiver - 1 ns | e1 | e2 | e3" );
22112855Sgabeblack@google.com	    e_ack.notify();
22212855Sgabeblack@google.com
22312855Sgabeblack@google.com	    // test next_trigger(t,and_list)
22412855Sgabeblack@google.com	    cout << "*** next_trigger(t,and_list)\n";
22512855Sgabeblack@google.com
22612855Sgabeblack@google.com	    next_trigger( t1, e1 | e2 | e3 );
22712855Sgabeblack@google.com	    next_trigger( t1, e1 & e2 & e3 );
22812855Sgabeblack@google.com	    break;
22912855Sgabeblack@google.com	case 20:
23012855Sgabeblack@google.com	    timed_out() ? write( "receiver - 1 ns | e1 & e2 & e3 - timed out" )
23112855Sgabeblack@google.com                        : write( "receiver - 1 ns | e1 & e2 & e3" );
23212855Sgabeblack@google.com
23312855Sgabeblack@google.com	    sc_stop();
23412855Sgabeblack@google.com	    write( "receiver - stop" );
23512855Sgabeblack@google.com	    next_trigger( t1, e1 & e2 & e3 );
23612855Sgabeblack@google.com	    next_trigger( SC_ZERO_TIME );
23712855Sgabeblack@google.com	    break;
23812855Sgabeblack@google.com	default:
23912855Sgabeblack@google.com	    sc_assert( false );
24012855Sgabeblack@google.com        }
24112855Sgabeblack@google.com	receiver_state ++;
24212855Sgabeblack@google.com    }
24312855Sgabeblack@google.com
24412855Sgabeblack@google.com    SC_CTOR( mod_a )
24512855Sgabeblack@google.com    {
24612855Sgabeblack@google.com        SC_METHOD( sender );
24712855Sgabeblack@google.com        sender_first = true;
24812855Sgabeblack@google.com        SC_METHOD( receiver );
24912855Sgabeblack@google.com        receiver_state = 0;
25012855Sgabeblack@google.com    }
25112855Sgabeblack@google.com};
25212855Sgabeblack@google.com
25312855Sgabeblack@google.comint
25412855Sgabeblack@google.comsc_main( int, char*[] )
25512855Sgabeblack@google.com{
25612855Sgabeblack@google.com    mod_a a( "a" );
25712855Sgabeblack@google.com
25812855Sgabeblack@google.com    sc_start();
25912855Sgabeblack@google.com
26012855Sgabeblack@google.com    return 0;
26112855Sgabeblack@google.com}
262