112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test10.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com// test of wait() for dynamic sensitivity
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com#include "systemc.h"
4112855Sgabeblack@google.com
4212855Sgabeblack@google.comSC_MODULE( mod_a )
4312855Sgabeblack@google.com{
4412855Sgabeblack@google.com    sc_event e1;
4512855Sgabeblack@google.com    sc_event e2;
4612855Sgabeblack@google.com    sc_event e3;
4712855Sgabeblack@google.com    sc_event e_ack;
4812855Sgabeblack@google.com
4912855Sgabeblack@google.com    void write( const char* msg )
5012855Sgabeblack@google.com    {
5112855Sgabeblack@google.com        cout << sc_delta_count() << ":" << sc_time_stamp()
5212855Sgabeblack@google.com             << " " << msg << "\n";
5312855Sgabeblack@google.com    }
5412855Sgabeblack@google.com
5512855Sgabeblack@google.com    void sender()
5612855Sgabeblack@google.com    {
5712855Sgabeblack@google.com        // wait one delta cycle
5812855Sgabeblack@google.com        wait( SC_ZERO_TIME );
5912855Sgabeblack@google.com
6012855Sgabeblack@google.com        while( true ) {
6112855Sgabeblack@google.com            e1.notify();
6212855Sgabeblack@google.com            e2.notify( SC_ZERO_TIME );
6312855Sgabeblack@google.com            e3.notify( 2, SC_NS );
6412855Sgabeblack@google.com            timed_out() ? write( "sender - timed out" )
6512855Sgabeblack@google.com                        : write( "sender" );
6612855Sgabeblack@google.com            wait( 3, SC_NS, e_ack );
6712855Sgabeblack@google.com            e2.cancel();
6812855Sgabeblack@google.com            e3.cancel();
6912855Sgabeblack@google.com        }
7012855Sgabeblack@google.com    }
7112855Sgabeblack@google.com
7212855Sgabeblack@google.com    void receiver()
7312855Sgabeblack@google.com    {
7412855Sgabeblack@google.com        sc_time t1( 1, SC_NS );
7512855Sgabeblack@google.com
7612855Sgabeblack@google.com        while( true ) {
7712855Sgabeblack@google.com
7812855Sgabeblack@google.com            // test wait(e)
7912855Sgabeblack@google.com            cout << "*** wait(e)\n";
8012855Sgabeblack@google.com
8112855Sgabeblack@google.com            wait( e1 );
8212855Sgabeblack@google.com            write( "receiver - e1" );
8312855Sgabeblack@google.com            e_ack.notify();
8412855Sgabeblack@google.com            wait( e2 );
8512855Sgabeblack@google.com            write( "receiver - e2" );
8612855Sgabeblack@google.com            e_ack.notify();
8712855Sgabeblack@google.com            wait( e3 );
8812855Sgabeblack@google.com            write( "receiver - e3" );
8912855Sgabeblack@google.com            e_ack.notify();
9012855Sgabeblack@google.com
9112855Sgabeblack@google.com            // test wait(or_list)
9212855Sgabeblack@google.com            cout << "*** wait(or_list)\n";
9312855Sgabeblack@google.com
9412855Sgabeblack@google.com            wait( e1 | e1 | e1 );
9512855Sgabeblack@google.com            write( "receiver - e1 | e1 | e1" );
9612855Sgabeblack@google.com            e_ack.notify();
9712855Sgabeblack@google.com            wait( e2 | e2 | e2 );
9812855Sgabeblack@google.com            write( "receiver - e2 | e2 | e2" );
9912855Sgabeblack@google.com            e_ack.notify();
10012855Sgabeblack@google.com            wait( e3 | e3 | e3 );
10112855Sgabeblack@google.com            write( "receiver - e3 | e3 | e3" );
10212855Sgabeblack@google.com            e_ack.notify();
10312855Sgabeblack@google.com            wait( e1 | e2 | e3 );
10412855Sgabeblack@google.com            write( "receiver - e1 | e2 | e3" );
10512855Sgabeblack@google.com            e_ack.notify();
10612855Sgabeblack@google.com            wait( e3 | e2 | e1 );
10712855Sgabeblack@google.com            write( "receiver - e3 | e2 | e1" );
10812855Sgabeblack@google.com            e_ack.notify();
10912855Sgabeblack@google.com
11012855Sgabeblack@google.com            // test wait(and_list)
11112855Sgabeblack@google.com            cout << "*** wait(and_list)\n";
11212855Sgabeblack@google.com
11312855Sgabeblack@google.com            wait( e1 & e1 & e1 );
11412855Sgabeblack@google.com            write( "receiver - e1 & e1 & e1" );
11512855Sgabeblack@google.com            e_ack.notify();
11612855Sgabeblack@google.com            wait( e2 & e2 & e2 );
11712855Sgabeblack@google.com            write( "receiver - e2 & e2 & e2" );
11812855Sgabeblack@google.com            e_ack.notify();
11912855Sgabeblack@google.com            wait( e3 & e3 & e3 );
12012855Sgabeblack@google.com            write( "receiver - e3 & e3 & e3" );
12112855Sgabeblack@google.com            e_ack.notify();
12212855Sgabeblack@google.com            wait( e1 & e2 & e3 );
12312855Sgabeblack@google.com            write( "receiver - e1 & e2 & e3" );
12412855Sgabeblack@google.com            e_ack.notify();
12512855Sgabeblack@google.com            wait( e3 & e2 & e1 );
12612855Sgabeblack@google.com            write( "receiver - e3 & e2 & e1" );
12712855Sgabeblack@google.com
12812855Sgabeblack@google.com            // test wait(t)
12912855Sgabeblack@google.com            cout << "*** wait(t)\n";
13012855Sgabeblack@google.com
13112855Sgabeblack@google.com            wait( 0, SC_NS );
13212855Sgabeblack@google.com            write( "receiver - 0 ns" );
13312855Sgabeblack@google.com            wait( 1, SC_NS );
13412855Sgabeblack@google.com            write( "receiver - 1 ns" );
13512855Sgabeblack@google.com
13612855Sgabeblack@google.com            e_ack.notify();
13712855Sgabeblack@google.com
13812855Sgabeblack@google.com            // test wait(t,e)
13912855Sgabeblack@google.com            cout << "*** wait(t,e)\n";
14012855Sgabeblack@google.com
14112855Sgabeblack@google.com            wait( 1, SC_NS, e1 );
14212855Sgabeblack@google.com            timed_out() ? write( "receiver - 1 ns | e1 - timed out" )
14312855Sgabeblack@google.com                        : write( "receiver - 1 ns | e1" );
14412855Sgabeblack@google.com            e_ack.notify();
14512855Sgabeblack@google.com            wait( t1, e2 );
14612855Sgabeblack@google.com            timed_out() ? write( "receiver - 1 ns | e2 - timed out" )
14712855Sgabeblack@google.com                        : write( "receiver - 1 ns | e2" );
14812855Sgabeblack@google.com            e_ack.notify();
14912855Sgabeblack@google.com            wait( 1, SC_NS, e3 );
15012855Sgabeblack@google.com            timed_out() ? write( "receiver - 1 ns | e3 - timed out" )
15112855Sgabeblack@google.com                        : write( "receiver - 1 ns | e3" );
15212855Sgabeblack@google.com            e_ack.notify();
15312855Sgabeblack@google.com
15412855Sgabeblack@google.com            // test wait(t,or_list)
15512855Sgabeblack@google.com            cout << "*** wait(t,or_list)\n";
15612855Sgabeblack@google.com
15712855Sgabeblack@google.com            wait( t1, e1 | e2 | e3 );
15812855Sgabeblack@google.com            timed_out() ? write( "receiver - 1 ns | e1 | e2 | e3 - timed out" )
15912855Sgabeblack@google.com                        : write( "receiver - 1 ns | e1 | e2 | e3" );
16012855Sgabeblack@google.com            e_ack.notify();
16112855Sgabeblack@google.com
16212855Sgabeblack@google.com            // test wait(t,and_list)
16312855Sgabeblack@google.com            cout << "*** wait(t,and_list)\n";
16412855Sgabeblack@google.com
16512855Sgabeblack@google.com            wait( t1, e1 & e2 & e3 );
16612855Sgabeblack@google.com            timed_out() ? write( "receiver - 1 ns | e1 & e2 & e3 - timed out" )
16712855Sgabeblack@google.com                        : write( "receiver - 1 ns | e1 & e2 & e3" );
16812855Sgabeblack@google.com
16912855Sgabeblack@google.com            sc_stop();
17012855Sgabeblack@google.com            write( "receiver - stop" );
17112855Sgabeblack@google.com            wait( SC_ZERO_TIME );
17212855Sgabeblack@google.com        }
17312855Sgabeblack@google.com    }
17412855Sgabeblack@google.com
17512855Sgabeblack@google.com    SC_CTOR( mod_a )
17612855Sgabeblack@google.com    {
17712855Sgabeblack@google.com        SC_THREAD( sender );
17812855Sgabeblack@google.com        SC_THREAD( receiver );
17912855Sgabeblack@google.com    }
18012855Sgabeblack@google.com};
18112855Sgabeblack@google.com
18212855Sgabeblack@google.comint
18312855Sgabeblack@google.comsc_main( int, char*[] )
18412855Sgabeblack@google.com{
18512855Sgabeblack@google.com    mod_a a( "a" );
18612855Sgabeblack@google.com
18712855Sgabeblack@google.com    sc_start();
18812855Sgabeblack@google.com
18912855Sgabeblack@google.com    return 0;
19012855Sgabeblack@google.com}
191