112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test04.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com// test of sc_event's methods; with dynamic thread receiver
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com#include "systemc.h"
4112855Sgabeblack@google.com
4212855Sgabeblack@google.comSC_MODULE( mod_a )
4312855Sgabeblack@google.com{
4412855Sgabeblack@google.com    sc_event e;
4512855Sgabeblack@google.com
4612855Sgabeblack@google.com    void write( const char* msg )
4712855Sgabeblack@google.com    {
4812855Sgabeblack@google.com        cout << sc_delta_count() << ":" << sc_time_stamp()
4912855Sgabeblack@google.com             << " " << msg << "\n";
5012855Sgabeblack@google.com    }
5112855Sgabeblack@google.com
5212855Sgabeblack@google.com    void sender()
5312855Sgabeblack@google.com    {
5412855Sgabeblack@google.com        // wait one delta cycle
5512855Sgabeblack@google.com        wait( SC_ZERO_TIME );
5612855Sgabeblack@google.com
5712855Sgabeblack@google.com        while( true ) {
5812855Sgabeblack@google.com
5912855Sgabeblack@google.com            // test cancel()
6012855Sgabeblack@google.com            cout << "*** cancel()\n";
6112855Sgabeblack@google.com
6212855Sgabeblack@google.com            // immediate notification
6312855Sgabeblack@google.com            e.notify();
6412855Sgabeblack@google.com            write( "sender - immediate" );
6512855Sgabeblack@google.com            wait( SC_ZERO_TIME );
6612855Sgabeblack@google.com
6712855Sgabeblack@google.com            // immediate notification -- canceled (no effect)
6812855Sgabeblack@google.com            e.notify();
6912855Sgabeblack@google.com            write( "sender - immediate" );
7012855Sgabeblack@google.com            e.cancel();
7112855Sgabeblack@google.com            write( "sender - canceled" );
7212855Sgabeblack@google.com            wait( SC_ZERO_TIME );
7312855Sgabeblack@google.com
7412855Sgabeblack@google.com            // delta notification
7512855Sgabeblack@google.com            e.notify( SC_ZERO_TIME );
7612855Sgabeblack@google.com            write( "sender - delta" );
7712855Sgabeblack@google.com            wait( SC_ZERO_TIME );
7812855Sgabeblack@google.com            wait( SC_ZERO_TIME );
7912855Sgabeblack@google.com
8012855Sgabeblack@google.com            // delta notification -- canceled
8112855Sgabeblack@google.com            e.notify( SC_ZERO_TIME );
8212855Sgabeblack@google.com            write( "sender - delta" );
8312855Sgabeblack@google.com            e.cancel();
8412855Sgabeblack@google.com            write( "sender - canceled" );
8512855Sgabeblack@google.com            wait( SC_ZERO_TIME );
8612855Sgabeblack@google.com            wait( SC_ZERO_TIME );
8712855Sgabeblack@google.com
8812855Sgabeblack@google.com            // timed notification
8912855Sgabeblack@google.com            e.notify( 1, SC_NS );
9012855Sgabeblack@google.com            write( "sender - timed 1 ns" );
9112855Sgabeblack@google.com            wait( 1, SC_NS );
9212855Sgabeblack@google.com            wait( SC_ZERO_TIME );
9312855Sgabeblack@google.com
9412855Sgabeblack@google.com            // timed notification -- canceled
9512855Sgabeblack@google.com            e.notify( 1, SC_NS );
9612855Sgabeblack@google.com            write( "sender - timed 1 ns" );
9712855Sgabeblack@google.com            e.cancel();
9812855Sgabeblack@google.com            write( "sender - canceled" );
9912855Sgabeblack@google.com            wait( 1, SC_NS );
10012855Sgabeblack@google.com            wait( SC_ZERO_TIME );
10112855Sgabeblack@google.com
10212855Sgabeblack@google.com            // timed notifiation -- canceled
10312855Sgabeblack@google.com            e.notify( 2, SC_NS );
10412855Sgabeblack@google.com            write( "sender - timed 2 ns" );
10512855Sgabeblack@google.com            wait( 1, SC_NS );
10612855Sgabeblack@google.com            e.cancel();
10712855Sgabeblack@google.com            write( "sender - canceled" );
10812855Sgabeblack@google.com            wait( 1, SC_NS );
10912855Sgabeblack@google.com            wait( SC_ZERO_TIME );
11012855Sgabeblack@google.com
11112855Sgabeblack@google.com            // test notify() -- the exception test is in test03.cpp
11212855Sgabeblack@google.com            cout << "*** notify()\n";
11312855Sgabeblack@google.com
11412855Sgabeblack@google.com            // delta notification -- made immediate
11512855Sgabeblack@google.com            e.notify( SC_ZERO_TIME );
11612855Sgabeblack@google.com            write( "sender - delta" );
11712855Sgabeblack@google.com            e.notify();
11812855Sgabeblack@google.com            write( "sender - immediate" );
11912855Sgabeblack@google.com            wait( SC_ZERO_TIME );
12012855Sgabeblack@google.com            wait( SC_ZERO_TIME );
12112855Sgabeblack@google.com
12212855Sgabeblack@google.com            // timed notification -- made immediate
12312855Sgabeblack@google.com            e.notify( 1, SC_NS );
12412855Sgabeblack@google.com            write( "sender - timed 1 ns" );
12512855Sgabeblack@google.com            e.notify();
12612855Sgabeblack@google.com            write( "sender - immediate" );
12712855Sgabeblack@google.com            wait( 1, SC_NS );
12812855Sgabeblack@google.com            wait( SC_ZERO_TIME );
12912855Sgabeblack@google.com
13012855Sgabeblack@google.com            // timed notification -- made immediate
13112855Sgabeblack@google.com            e.notify( 2, SC_NS );
13212855Sgabeblack@google.com            write( "sender - timed 2 ns" );
13312855Sgabeblack@google.com            wait( 1, SC_NS );
13412855Sgabeblack@google.com            e.notify();
13512855Sgabeblack@google.com            write( "sender - immediate" );
13612855Sgabeblack@google.com            wait( 1, SC_NS );
13712855Sgabeblack@google.com            wait( SC_ZERO_TIME );
13812855Sgabeblack@google.com
13912855Sgabeblack@google.com            // test notify(t)
14012855Sgabeblack@google.com            cout << "*** notify(t)\n";
14112855Sgabeblack@google.com
14212855Sgabeblack@google.com            e.notify( SC_ZERO_TIME );
14312855Sgabeblack@google.com            write( "sender - delta" );
14412855Sgabeblack@google.com            e.notify( 1, SC_NS );
14512855Sgabeblack@google.com            write( "sender - timed 1 ns" );
14612855Sgabeblack@google.com            wait( 1, SC_NS );
14712855Sgabeblack@google.com            wait( SC_ZERO_TIME );
14812855Sgabeblack@google.com
14912855Sgabeblack@google.com            e.notify( 1, SC_NS );
15012855Sgabeblack@google.com            write( "sender - timed 1 ns" );
15112855Sgabeblack@google.com            e.notify( SC_ZERO_TIME );
15212855Sgabeblack@google.com            write( "sender - delta" );
15312855Sgabeblack@google.com            wait( 1, SC_NS );
15412855Sgabeblack@google.com            wait( SC_ZERO_TIME );
15512855Sgabeblack@google.com
15612855Sgabeblack@google.com            e.notify( 2, SC_NS );
15712855Sgabeblack@google.com            write( "sender - timed 2 ns" );
15812855Sgabeblack@google.com            e.notify( 1, SC_NS );
15912855Sgabeblack@google.com            write( "sender - timed 1 ns" );
16012855Sgabeblack@google.com            wait( 2, SC_NS );
16112855Sgabeblack@google.com            wait( SC_ZERO_TIME );
16212855Sgabeblack@google.com
16312855Sgabeblack@google.com            e.notify( 1, SC_NS );
16412855Sgabeblack@google.com            write( "sender - timed 1 ns" );
16512855Sgabeblack@google.com            e.notify( 2, SC_NS );
16612855Sgabeblack@google.com            write( "sender - timed 2 ns" );
16712855Sgabeblack@google.com            wait( 2, SC_NS );
16812855Sgabeblack@google.com            wait( SC_ZERO_TIME );
16912855Sgabeblack@google.com
17012855Sgabeblack@google.com            sc_stop();
17112855Sgabeblack@google.com            write( "sender - stop" );
17212855Sgabeblack@google.com            wait( SC_ZERO_TIME );
17312855Sgabeblack@google.com        }
17412855Sgabeblack@google.com    }
17512855Sgabeblack@google.com
17612855Sgabeblack@google.com    void receiver()
17712855Sgabeblack@google.com    {
17812855Sgabeblack@google.com        while( true ) {
17912855Sgabeblack@google.com            wait( e );
18012855Sgabeblack@google.com            write( "receiver" );
18112855Sgabeblack@google.com        }
18212855Sgabeblack@google.com    }
18312855Sgabeblack@google.com
18412855Sgabeblack@google.com    SC_CTOR( mod_a )
18512855Sgabeblack@google.com    {
18612855Sgabeblack@google.com        SC_THREAD( sender );
18712855Sgabeblack@google.com        SC_THREAD( receiver );
18812855Sgabeblack@google.com    }
18912855Sgabeblack@google.com};
19012855Sgabeblack@google.com
19112855Sgabeblack@google.comint
19212855Sgabeblack@google.comsc_main( int, char*[] )
19312855Sgabeblack@google.com{
19412855Sgabeblack@google.com    mod_a a( "a" );
19512855Sgabeblack@google.com
19612855Sgabeblack@google.com    sc_start();
19712855Sgabeblack@google.com
19812855Sgabeblack@google.com    return 0;
19912855Sgabeblack@google.com}
200