test02.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test02.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38// test of immediate event notification
39
40#include "systemc.h"
41
42SC_MODULE( source )
43{
44    sc_in_clk clk;
45    sc_out<int> out;
46
47    void main_action()
48    {
49        int a = 0;
50        while( true ) {
51            wait();
52            out = a ++;
53        }
54    }
55
56    SC_CTOR( source )
57    {
58        SC_THREAD( main_action );
59        sensitive << clk.pos();
60    }
61};
62
63SC_MODULE( sink )
64{
65    sc_in_clk clk;
66    sc_in<int> in;
67
68    sc_event e;
69
70    void main_action()
71    {
72        int a;
73        while( true ) {
74            wait();
75            cout << sc_delta_count() << " -- " << in.read() << endl;
76            a = in.read();
77            if( ( a % 3 ) == 0 ) {
78                e.notify();
79            }
80        }
81    }
82
83    void other_action()
84    {
85        while( true ) {
86            wait( e );
87            cout << sc_delta_count() << " AA " << in.read() << endl;
88            wait( e | e );  // same as wait( e )
89            cout << sc_delta_count() << " BB " << in.read() << endl;
90            wait( e & e );  // same as wait( e )
91            cout << sc_delta_count() << " CC " << in.read() << endl;
92            wait( e | e | e );  // same as wait( e )
93            cout << sc_delta_count() << " DD " << in.read() << endl;
94            wait( e & e & e );  // same as wait( e )
95            cout << sc_delta_count() << " EE " << in.read() << endl;
96            wait( e & clk->negedge_event() );
97            cout << sc_delta_count() << " FF " << in.read() << endl;
98            wait( e | clk->negedge_event() );
99            cout << sc_delta_count() << " GG " << in.read() << endl;
100        }
101    }
102
103    SC_CTOR( sink )
104    {
105        SC_THREAD( main_action );
106        sensitive << clk.pos();
107        SC_THREAD( other_action );
108    }
109};
110
111int sc_main( int, char** )
112{
113    sc_clock clk;
114
115    sc_signal<int> sig;
116    source src( "src" );
117    sink snk( "snk" );
118
119    src.clk( clk );
120    src.out( sig );
121    snk.clk( clk );
122    snk.in( sig );
123
124    sc_start( 100, SC_NS );
125
126    return 0;
127}
128