112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  arith02.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com#include <stdlib.h>
3912855Sgabeblack@google.com#include "systemc.h"
4012855Sgabeblack@google.com#include "isaac.h"
4112855Sgabeblack@google.com
4212855Sgabeblack@google.comQTIsaac<8> rng;		// Platform independent random number generator.
4312855Sgabeblack@google.com
4412855Sgabeblack@google.comvoid
4512855Sgabeblack@google.comcheck_string( const sc_signed& z, int v )
4612855Sgabeblack@google.com{
4712855Sgabeblack@google.com    std::string buf(z.to_string( SC_BIN ) );
4812855Sgabeblack@google.com    if (z < 0) {
4912855Sgabeblack@google.com        sc_assert(buf[2] == '1');
5012855Sgabeblack@google.com    } else {
5112855Sgabeblack@google.com        sc_assert(buf[2] == '0');
5212855Sgabeblack@google.com    }
5312855Sgabeblack@google.com}
5412855Sgabeblack@google.com
5512855Sgabeblack@google.comint
5612855Sgabeblack@google.comsc_main( int argc, char* argv[] )
5712855Sgabeblack@google.com{
5812855Sgabeblack@google.com    signed int vali[5] = { 0, 1, -1, 7, -8 };
5912855Sgabeblack@google.com    signed int valj[5] = { 0, 1, -1, 7, -8 };
6012855Sgabeblack@google.com
6112855Sgabeblack@google.com    for (int i = 3; i < 32; ++i) {
6212855Sgabeblack@google.com        for (int j = 3; j < 32; ++j) {
6312855Sgabeblack@google.com            cout << "i = " << i << ", j = " << j << endl;
6412855Sgabeblack@google.com
6512855Sgabeblack@google.com            sc_signed x(i);
6612855Sgabeblack@google.com            sc_signed y(j);
6712855Sgabeblack@google.com            sc_signed z(64);
6812855Sgabeblack@google.com
6912855Sgabeblack@google.com            vali[3] = (1 << (i - 1)) - 1;
7012855Sgabeblack@google.com            vali[4] = - (1 << (i - 1));
7112855Sgabeblack@google.com
7212855Sgabeblack@google.com            valj[3] = (1 << (j - 1)) - 1;
7312855Sgabeblack@google.com            valj[4] = - (1 << (j - 1));
7412855Sgabeblack@google.com
7512855Sgabeblack@google.com	/*
7612855Sgabeblack@google.com			// old code - takes too much time.
7712855Sgabeblack@google.com            for (int ii = 0; ii < 100; ++ii) {
7812855Sgabeblack@google.com                for (int jj = 0; jj < 100; ++jj) {
7912855Sgabeblack@google.com	*/
8012855Sgabeblack@google.com            for (int ii = 0; ii < 10; ++ii) {
8112855Sgabeblack@google.com                for (int jj = 0; jj < 10; ++jj) {
8212855Sgabeblack@google.com                    signed int qi = (ii < 5) ? vali[ii] : (rng.rand() & ((1 << i) - 1));
8312855Sgabeblack@google.com                    signed int qj = (jj < 5) ? valj[jj] : (rng.rand() & ((1 << j) - 1));
8412855Sgabeblack@google.com
8512855Sgabeblack@google.com                    if (qi & (1 << (i - 1))) {
8612855Sgabeblack@google.com                        qi = (qi << (32 - i)) >> (32 - i);
8712855Sgabeblack@google.com                    }
8812855Sgabeblack@google.com                    if (qj & (1 << (j - 1))) {
8912855Sgabeblack@google.com                        qj = (qj << (32 - j)) >> (32 - j);
9012855Sgabeblack@google.com                    }
9112855Sgabeblack@google.com
9212855Sgabeblack@google.com                    x = qi;
9312855Sgabeblack@google.com                    sc_assert( x == qi );
9412855Sgabeblack@google.com                    y = qj;
9512855Sgabeblack@google.com                    sc_assert( y == qj );
9612855Sgabeblack@google.com                    sc_assert((x == qj) == (qi == qj));
9712855Sgabeblack@google.com                    sc_assert((x == qj) == (qj == x));
9812855Sgabeblack@google.com                    sc_assert((x != qj) == (qi != qj));
9912855Sgabeblack@google.com                    sc_assert((x != qj) == (qj != x));
10012855Sgabeblack@google.com                    sc_assert((x < qj) == (qi < qj));
10112855Sgabeblack@google.com                    sc_assert((x < qj) == (qj > x));
10212855Sgabeblack@google.com                    sc_assert((x <= qj) == (qi <= qj));
10312855Sgabeblack@google.com                    sc_assert((x <= qj) == (qj >= x));
10412855Sgabeblack@google.com                    sc_assert((x > qj) == (qi > qj));
10512855Sgabeblack@google.com                    sc_assert((x > qj) == (qj < x));
10612855Sgabeblack@google.com                    sc_assert((x >= qj) == (qi >= qj));
10712855Sgabeblack@google.com                    sc_assert((x >= qj) == (qj <= x));
10812855Sgabeblack@google.com                    z = x + y;
10912855Sgabeblack@google.com                    sc_assert( static_cast<sc_bigint<32> >( z.range(31,0) ) ==
11012855Sgabeblack@google.com                            (qi + qj) );
11112855Sgabeblack@google.com                    check_string( z, qi + qj );
11212855Sgabeblack@google.com                    z = x - y;
11312855Sgabeblack@google.com                    sc_assert( static_cast<sc_bigint<32> >( z.range(31,0) ) ==
11412855Sgabeblack@google.com			    (qi - qj) );
11512855Sgabeblack@google.com                    check_string( z, qi - qj );
11612855Sgabeblack@google.com                    z = x * y;
11712855Sgabeblack@google.com                    sc_assert( static_cast<sc_bigint<32> >( z.range(31,0) ) ==
11812855Sgabeblack@google.com			    (qi * qj) );
11912855Sgabeblack@google.com                    check_string( z, qi * qj );
12012855Sgabeblack@google.com                    if (y != 0) {
12112855Sgabeblack@google.com                        z = x / y;
12212855Sgabeblack@google.com                        sc_assert( static_cast<sc_bigint<32> >( z.range(31,0) ) ==
12312855Sgabeblack@google.com				(qi / qj) );
12412855Sgabeblack@google.com                        check_string( z, qi / qj );
12512855Sgabeblack@google.com                        z = x % y;
12612855Sgabeblack@google.com                        sc_assert( static_cast<sc_bigint<32> >( z.range(31,0) ) ==
12712855Sgabeblack@google.com				(qi % qj) );
12812855Sgabeblack@google.com                        check_string( z, qi % qj );
12912855Sgabeblack@google.com                    }
13012855Sgabeblack@google.com                    z = x & y;
13112855Sgabeblack@google.com                    sc_assert( static_cast<sc_bigint<32> >( z.range(31,0) ) ==
13212855Sgabeblack@google.com			    (qi & qj) );
13312855Sgabeblack@google.com                    check_string( z, qi & qj );
13412855Sgabeblack@google.com                    z = x | y;
13512855Sgabeblack@google.com                    sc_assert( static_cast<sc_bigint<32> >( z.range(31,0) ) ==
13612855Sgabeblack@google.com			    (qi | qj) );
13712855Sgabeblack@google.com                    check_string( z, qi | qj );
13812855Sgabeblack@google.com                    z = x ^ y;
13912855Sgabeblack@google.com                    sc_assert( static_cast<sc_bigint<32> >( z.range(31,0) ) ==
14012855Sgabeblack@google.com			    (qi ^ qj) );
14112855Sgabeblack@google.com                    check_string( z, qi ^ qj );
14212855Sgabeblack@google.com                    if (jj < i - 1) {
14312855Sgabeblack@google.com                        z = x << jj;
14412855Sgabeblack@google.com                        for (int r = 0; r < i; ++r) {
14512855Sgabeblack@google.com                            sc_assert( (bool) z[r] == !!((qi << jj) & (1 << r)) );
14612855Sgabeblack@google.com                        }
14712855Sgabeblack@google.com                        z = x >> jj;
14812855Sgabeblack@google.com                        for (int r = 0; r < i; ++r) {
14912855Sgabeblack@google.com                            sc_assert( (bool) z[r] == !!((qi >> jj) & (1 << r)) );
15012855Sgabeblack@google.com                        }
15112855Sgabeblack@google.com                    }
15212855Sgabeblack@google.com                }
15312855Sgabeblack@google.com            }
15412855Sgabeblack@google.com        }
15512855Sgabeblack@google.com    }
15612855Sgabeblack@google.com    return 0;
15712855Sgabeblack@google.com}
158