test04.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test04.cpp --
23
24  Original Author: Ucar Aziz, Synopsys, Inc., 2002-02-15
25                   Martin Janssen, Synopsys, Inc., 2002-02-15
26
27 *****************************************************************************/
28
29/*****************************************************************************
30
31  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
32  changes you are making here.
33
34      Name, Affiliation, Date:
35  Description of Modification:
36
37 *****************************************************************************/
38
39// test of sc_signal_resolved::operator
40
41#include "systemc.h"
42
43SC_MODULE( mod_a )
44{
45  sc_in<bool> clk;
46
47  sc_signal_resolved sig1;
48  sc_signal_resolved sig2;
49
50  void main_action(){
51    sc_logic data('1');
52    sig1.write(data);
53    int i = 1;
54
55    while(1){
56      wait();
57      cout<<i<<". cycle\n";
58      cout<<sig1.read()<<endl;
59      sig2 = sig1;
60      cout<<sig2.read()<<endl;
61      i = i+1;
62    }
63  }
64
65  SC_CTOR( mod_a ):sig1("res_sig1"), sig2("res_sig2")
66       {
67        SC_THREAD(main_action);
68        sensitive << clk.pos();
69       }
70};
71
72
73int
74sc_main( int, char*[] )
75{
76    sc_clock clk("clk",5, SC_NS);
77    mod_a a( "a" );
78    a.clk( clk );
79    cout<<a.sig1.name()<<endl;
80    cout<<a.sig2.name()<<endl;
81    sc_start(10, SC_NS);
82
83    return 0;
84}
85