test02.cpp revision 12855:588919e0e4aa
1/*****************************************************************************
2
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements.  See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  test02.cpp --
23
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38// test of signals with user-defined datatypes
39// -- operator =, operator ==, and operator << must be defined
40// -- sc_trace() does not have to be defined (unless used)
41// -- here, sc_trace() is defined and used
42
43#include "systemc.h"
44
45class my_datatype
46{
47public:
48    my_datatype()
49        : m_val( 0 ) {}
50    my_datatype( int val_ )
51        : m_val( val_ ) {}
52    my_datatype( const my_datatype& a )
53        : m_val( a.m_val ) {}
54    ~my_datatype()
55        {}
56    my_datatype& operator = ( const my_datatype& a )
57        { m_val = a.m_val; return *this; }
58    friend bool operator == ( const my_datatype& a, const my_datatype& b )
59        { return ( a.m_val == b.m_val ); }
60    friend void sc_trace( sc_trace_file* tf, const my_datatype& a,
61                          const std::string& name )
62        { sc_core::sc_trace( tf, a.m_val, name ); }
63    void print( ostream& os ) const
64        { os << m_val; }
65private:
66    int m_val;
67};
68
69ostream&
70operator << ( ostream& os, const my_datatype& a )
71{
72    a.print( os );
73    return os;
74}
75
76int
77sc_main( int, char*[] )
78{
79    my_datatype a( 123 );
80    a.print( cout );
81    cout << endl;
82
83    sc_signal<my_datatype> sig;
84
85    sc_trace_file* tf = sc_create_vcd_trace_file( "test02" );
86    sc_trace( tf, sig, "sig" );
87    for( int i = 0; i < 10; ++ i ) {
88        sig = my_datatype( 10 - i );
89        sc_start( 1, SC_NS );
90        cout << sig.read() << endl;
91    }
92    sc_close_vcd_trace_file( tf );
93
94    return 0;
95}
96