112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test01.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com// test of dynamic design rule checking in signals.
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com// #define DEBUG_SYSTEMC
4112855Sgabeblack@google.com#include "systemc.h"
4212855Sgabeblack@google.com
4312855Sgabeblack@google.comSC_MODULE( mod_a )
4412855Sgabeblack@google.com{
4512855Sgabeblack@google.com    sc_in_clk clk;
4612855Sgabeblack@google.com
4712855Sgabeblack@google.com    sc_out<int>      out_int;
4812855Sgabeblack@google.com    sc_out<bool>     out_bool;
4912855Sgabeblack@google.com    sc_out<sc_logic> out_logic;
5012855Sgabeblack@google.com    sc_out<int>      out_int2;
5112855Sgabeblack@google.com    sc_out_resolved  out_resolved;
5212855Sgabeblack@google.com    sc_out_rv<1>     out_rv1;
5312855Sgabeblack@google.com
5412855Sgabeblack@google.com    void main_action1()
5512855Sgabeblack@google.com    {
5612855Sgabeblack@google.com        out_int = 42;
5712855Sgabeblack@google.com        out_bool = true;
5812855Sgabeblack@google.com        out_logic = SC_LOGIC_1;
5912855Sgabeblack@google.com        out_int2 = 1;
6012855Sgabeblack@google.com        out_resolved = SC_LOGIC_1;
6112855Sgabeblack@google.com        out_rv1 = sc_lv<1>( SC_LOGIC_1 );
6212855Sgabeblack@google.com    }
6312855Sgabeblack@google.com
6412855Sgabeblack@google.com    void main_action2()
6512855Sgabeblack@google.com    {
6612855Sgabeblack@google.com        out_int = 0;
6712855Sgabeblack@google.com        out_bool = false;
6812855Sgabeblack@google.com        out_logic = SC_LOGIC_0;
6912855Sgabeblack@google.com        out_int2 = 0;
7012855Sgabeblack@google.com        out_resolved = SC_LOGIC_0;
7112855Sgabeblack@google.com        out_rv1 = sc_lv<1>( SC_LOGIC_0 );
7212855Sgabeblack@google.com    }
7312855Sgabeblack@google.com
7412855Sgabeblack@google.com    SC_CTOR( mod_a )
7512855Sgabeblack@google.com    {
7612855Sgabeblack@google.com        SC_METHOD( main_action1 );
7712855Sgabeblack@google.com        sensitive << clk.pos();
7812855Sgabeblack@google.com        dont_initialize();
7912855Sgabeblack@google.com        SC_METHOD( main_action2 );
8012855Sgabeblack@google.com        sensitive << clk.neg();
8112855Sgabeblack@google.com        dont_initialize();
8212855Sgabeblack@google.com    }
8312855Sgabeblack@google.com};
8412855Sgabeblack@google.com
8512855Sgabeblack@google.comint
8612855Sgabeblack@google.comsc_main( int, char*[] )
8712855Sgabeblack@google.com{
8812855Sgabeblack@google.com    sc_clock clk;
8912855Sgabeblack@google.com
9012855Sgabeblack@google.com    sc_signal<int> sig_int;
9112855Sgabeblack@google.com    sc_signal<bool> sig_bool;
9212855Sgabeblack@google.com    sc_signal<sc_logic> sig_logic;
9312855Sgabeblack@google.com    sc_buffer<int> buf_int;
9412855Sgabeblack@google.com    sc_signal_resolved sig_resolved;
9512855Sgabeblack@google.com    sc_signal_rv<1> sig_rv1;
9612855Sgabeblack@google.com
9712855Sgabeblack@google.com    mod_a a("a");
9812855Sgabeblack@google.com    a(clk,sig_int,sig_bool,sig_logic,buf_int,sig_resolved,sig_rv1);
9912855Sgabeblack@google.com
10012855Sgabeblack@google.com    sc_start( 20, SC_NS );
10112855Sgabeblack@google.com
10212855Sgabeblack@google.com    return 0;
10312855Sgabeblack@google.com}
104