test04.cpp revision 12855:588919e0e4aa
1#include "systemc.h"
2
3SC_MODULE(X)
4{
5	SC_CTOR(X) : a("a")
6	{
7	}
8	sc_export<sc_signal_inout_if<int> > a;
9};
10
11int sc_main(int argc, char* argv[])
12{
13	X        x("x");
14
15	sc_start(1, SC_NS);
16	return 0;
17}
18