test02.cpp revision 12855:588919e0e4aa
1#include "systemc.h"
2
3SC_MODULE(X)
4{
5	SC_CTOR(X)
6	{
7		a(b);
8	}
9	sc_export<sc_signal_inout_if<int> > a;
10	sc_export<sc_signal_inout_if<int> > b;
11};
12
13int sc_main(int argc, char* argv[])
14{
15	sc_clock clock;
16	X        x("x");
17
18	sc_start(1, SC_NS);
19	return 0;
20}
21