112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  async_reset_init.cpp -- Starting a process in async reset state
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Philipp A. Hartmann, Intel Corporation, 2017-07-23
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com#include <systemc>
2912855Sgabeblack@google.com#include <iomanip>
3012855Sgabeblack@google.com
3112855Sgabeblack@google.comSC_MODULE(module)
3212855Sgabeblack@google.com{
3312855Sgabeblack@google.com  sc_core::sc_in<bool> rst_in;
3412855Sgabeblack@google.com  sc_core::sc_event    ev;
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com  SC_CTOR(module)
3712855Sgabeblack@google.com    : rst_in("rst_in")
3812855Sgabeblack@google.com    , ev("ev")
3912855Sgabeblack@google.com  {
4012855Sgabeblack@google.com    SC_THREAD(thread0);
4112855Sgabeblack@google.com      sensitive << ev;
4212855Sgabeblack@google.com      async_reset_signal_is(rst_in,true);
4312855Sgabeblack@google.com
4412855Sgabeblack@google.com    SC_THREAD(thread1);
4512855Sgabeblack@google.com      sensitive << ev;
4612855Sgabeblack@google.com      async_reset_signal_is(rst_in,true);
4712855Sgabeblack@google.com      dont_initialize();
4812855Sgabeblack@google.com
4912855Sgabeblack@google.com    SC_METHOD(method0);
5012855Sgabeblack@google.com      sensitive << ev;
5112855Sgabeblack@google.com      async_reset_signal_is(rst_in,true);
5212855Sgabeblack@google.com
5312855Sgabeblack@google.com    SC_METHOD(method1);
5412855Sgabeblack@google.com      sensitive << ev;
5512855Sgabeblack@google.com      async_reset_signal_is(rst_in,true);
5612855Sgabeblack@google.com      dont_initialize();
5712855Sgabeblack@google.com  }
5812855Sgabeblack@google.com
5912855Sgabeblack@google.com  void thread0() { do_thread(); }
6012855Sgabeblack@google.com  void thread1() { do_thread(); }
6112855Sgabeblack@google.com
6212855Sgabeblack@google.com  void do_thread()
6312855Sgabeblack@google.com  {
6412855Sgabeblack@google.com    print( "reset state" );
6512855Sgabeblack@google.com    wait();
6612855Sgabeblack@google.com    print( "reset done" );
6712855Sgabeblack@google.com
6812855Sgabeblack@google.com    while(1) // main loop
6912855Sgabeblack@google.com    {
7012855Sgabeblack@google.com      wait();
7112855Sgabeblack@google.com      print( "continuing" );
7212855Sgabeblack@google.com    }
7312855Sgabeblack@google.com  }
7412855Sgabeblack@google.com
7512855Sgabeblack@google.com  void method0() { do_method(); }
7612855Sgabeblack@google.com  void method1() { do_method(); }
7712855Sgabeblack@google.com
7812855Sgabeblack@google.com  void do_method()
7912855Sgabeblack@google.com  {
8012855Sgabeblack@google.com    if( rst_in.read() ) {
8112855Sgabeblack@google.com      print("reset state");
8212855Sgabeblack@google.com    } else {
8312855Sgabeblack@google.com      print("running");
8412855Sgabeblack@google.com    }
8512855Sgabeblack@google.com  }
8612855Sgabeblack@google.com
8712855Sgabeblack@google.com  void print(const char* msg)
8812855Sgabeblack@google.com  {
8912855Sgabeblack@google.com    using namespace sc_core;
9012855Sgabeblack@google.com    using namespace std;
9112855Sgabeblack@google.com    cout
9212855Sgabeblack@google.com      << setw(6) << sc_time_stamp()
9312855Sgabeblack@google.com      << " (" << sc_delta_count() << "): "
9412855Sgabeblack@google.com      << sc_get_current_process_handle().name() << ": "
9512855Sgabeblack@google.com      << msg
9612855Sgabeblack@google.com      << endl;
9712855Sgabeblack@google.com  }
9812855Sgabeblack@google.com}; // SC_MODULE(module)
9912855Sgabeblack@google.com
10012855Sgabeblack@google.comint sc_main(int argc, char* argv[])
10112855Sgabeblack@google.com{
10212855Sgabeblack@google.com  using namespace sc_core;
10312855Sgabeblack@google.com  using namespace std;
10412855Sgabeblack@google.com
10512855Sgabeblack@google.com  sc_signal<bool> rst_sig;
10612855Sgabeblack@google.com  rst_sig.write(true);
10712855Sgabeblack@google.com
10812855Sgabeblack@google.com  module top("top");
10912855Sgabeblack@google.com  top.rst_in(rst_sig);
11012855Sgabeblack@google.com
11112855Sgabeblack@google.com  cout << "Starting simulation ... " << endl;
11212855Sgabeblack@google.com
11312855Sgabeblack@google.com  sc_start(10, SC_NS);
11412855Sgabeblack@google.com  top.ev.notify(SC_ZERO_TIME);
11512855Sgabeblack@google.com  sc_start(10, SC_NS);
11612855Sgabeblack@google.com
11712855Sgabeblack@google.com  rst_sig.write(false); // releasing reset
11812855Sgabeblack@google.com
11912855Sgabeblack@google.com  sc_start(10, SC_NS);
12012855Sgabeblack@google.com  top.ev.notify(SC_ZERO_TIME);
12112855Sgabeblack@google.com  sc_start(10, SC_NS);
12212855Sgabeblack@google.com  top.ev.notify(SC_ZERO_TIME);
12312855Sgabeblack@google.com  sc_start(10, SC_NS);
12412855Sgabeblack@google.com
12512855Sgabeblack@google.com  rst_sig.write(true);  // entering reset
12612855Sgabeblack@google.com
12712855Sgabeblack@google.com  sc_start(10, SC_NS);
12812855Sgabeblack@google.com  top.ev.notify(SC_ZERO_TIME);
12912855Sgabeblack@google.com  sc_start(10, SC_NS);
13012855Sgabeblack@google.com
13112855Sgabeblack@google.com  cout << "... done." << endl;
13212855Sgabeblack@google.com  sc_stop();
13312855Sgabeblack@google.com  return 0;
13412855Sgabeblack@google.com}
13512855Sgabeblack@google.com
136