112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com// odds_and_ends.cpp -- test for
2112855Sgabeblack@google.com//
2212855Sgabeblack@google.com//  Original Author: John Aynsley, Doulos, Inc.
2312855Sgabeblack@google.com//
2412855Sgabeblack@google.com// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
2512855Sgabeblack@google.com//
2612855Sgabeblack@google.com// $Log: odds_and_ends.cpp,v $
2712855Sgabeblack@google.com// Revision 1.2  2011/05/08 19:18:46  acg
2812855Sgabeblack@google.com//  Andy Goodrich: remove extraneous + prefixes from git diff.
2912855Sgabeblack@google.com//
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com// Quick test of new features in 1666-2011
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com#define SC_INCLUDE_DYNAMIC_PROCESSES
3412855Sgabeblack@google.com
3512855Sgabeblack@google.com#include <systemc>
3612855Sgabeblack@google.comusing namespace sc_core;
3712855Sgabeblack@google.comusing std::cout;
3812855Sgabeblack@google.comusing std::endl;
3912855Sgabeblack@google.com
4012855Sgabeblack@google.comSC_MODULE(Top)
4112855Sgabeblack@google.com{
4212855Sgabeblack@google.com  SC_CTOR(Top)
4312855Sgabeblack@google.com  {
4412855Sgabeblack@google.com    SC_THREAD(gen);
4512855Sgabeblack@google.com    SC_THREAD(T1);
4612855Sgabeblack@google.com      h1 = sc_get_current_process_handle();
4712855Sgabeblack@google.com    SC_THREAD(T2);
4812855Sgabeblack@google.com      h2 = sc_get_current_process_handle();
4912855Sgabeblack@google.com
5012855Sgabeblack@google.com    // Complete for mutex
5112855Sgabeblack@google.com    SC_THREAD(task1);
5212855Sgabeblack@google.com    SC_THREAD(task2);
5312855Sgabeblack@google.com
5412855Sgabeblack@google.com    SC_METHOD(reset_handler);
5512855Sgabeblack@google.com      dont_initialize();
5612855Sgabeblack@google.com      sensitive << h2.reset_event();
5712855Sgabeblack@google.com
5812855Sgabeblack@google.com    SC_METHOD(kill_handler);
5912855Sgabeblack@google.com      dont_initialize();
6012855Sgabeblack@google.com      sensitive << h2.terminated_event();
6112855Sgabeblack@google.com
6212855Sgabeblack@google.com    SC_THREAD(T3);
6312855Sgabeblack@google.com
6412855Sgabeblack@google.com    end_of_T1 = end_of_T3 = T3A_called = T3B_called = false;
6512855Sgabeblack@google.com  }
6612855Sgabeblack@google.com
6712855Sgabeblack@google.com  sc_event ev;
6812855Sgabeblack@google.com
6912855Sgabeblack@google.com  sc_process_handle h1, h2;
7012855Sgabeblack@google.com  bool end_of_T1, end_of_T3;
7112855Sgabeblack@google.com
7212855Sgabeblack@google.com  void gen()
7312855Sgabeblack@google.com  {
7412855Sgabeblack@google.com    for (;;)
7512855Sgabeblack@google.com    {
7612855Sgabeblack@google.com      wait(10, SC_NS);
7712855Sgabeblack@google.com      ev.notify();
7812855Sgabeblack@google.com    }
7912855Sgabeblack@google.com  }
8012855Sgabeblack@google.com
8112855Sgabeblack@google.com  void T1()
8212855Sgabeblack@google.com  {
8312855Sgabeblack@google.com    wait(25, SC_NS);
8412855Sgabeblack@google.com    cout << "suspend at " << sc_time_stamp() << endl;
8512855Sgabeblack@google.com    h2.suspend();
8612855Sgabeblack@google.com    wait(20, SC_NS);
8712855Sgabeblack@google.com    cout << "resume at " << sc_time_stamp() << endl;
8812855Sgabeblack@google.com    h2.resume();
8912855Sgabeblack@google.com    wait(20, SC_NS);
9012855Sgabeblack@google.com
9112855Sgabeblack@google.com    cout << "disable at " << sc_time_stamp() << endl;
9212855Sgabeblack@google.com    h2.disable();
9312855Sgabeblack@google.com    wait(20, SC_NS);
9412855Sgabeblack@google.com    cout << "enable at " << sc_time_stamp() << endl;
9512855Sgabeblack@google.com    h2.enable();
9612855Sgabeblack@google.com    wait(20, SC_NS);
9712855Sgabeblack@google.com
9812855Sgabeblack@google.com    h2.reset();
9912855Sgabeblack@google.com    wait(20, SC_NS);
10012855Sgabeblack@google.com
10112855Sgabeblack@google.com    h2.kill();
10212855Sgabeblack@google.com    wait(20, SC_NS);
10312855Sgabeblack@google.com
10412855Sgabeblack@google.com    sc_pause();
10512855Sgabeblack@google.com    wait(50, SC_NS);
10612855Sgabeblack@google.com    sc_stop();
10712855Sgabeblack@google.com    end_of_T1 = true;
10812855Sgabeblack@google.com  }
10912855Sgabeblack@google.com
11012855Sgabeblack@google.com  void T2()
11112855Sgabeblack@google.com  {
11212855Sgabeblack@google.com    for (;;)
11312855Sgabeblack@google.com    {
11412855Sgabeblack@google.com      wait(ev);
11512855Sgabeblack@google.com      cout << "T2 at " << sc_time_stamp() << endl;
11612855Sgabeblack@google.com    }
11712855Sgabeblack@google.com  }
11812855Sgabeblack@google.com
11912855Sgabeblack@google.com  void task1()
12012855Sgabeblack@google.com  {
12112855Sgabeblack@google.com    resource();
12212855Sgabeblack@google.com    sc_assert( sc_time_stamp() == sc_time(10, SC_NS) || sc_time_stamp() == sc_time(20, SC_NS) );
12312855Sgabeblack@google.com    cout << "task1 or task2 completed" << endl;
12412855Sgabeblack@google.com  }
12512855Sgabeblack@google.com
12612855Sgabeblack@google.com  void task2()
12712855Sgabeblack@google.com  {
12812855Sgabeblack@google.com    resource();
12912855Sgabeblack@google.com    sc_assert( sc_time_stamp() == sc_time(10, SC_NS) || sc_time_stamp() == sc_time(20, SC_NS) );
13012855Sgabeblack@google.com    cout << "task1 or task2 completed" << endl;
13112855Sgabeblack@google.com  }
13212855Sgabeblack@google.com
13312855Sgabeblack@google.com  void resource()
13412855Sgabeblack@google.com  {
13512855Sgabeblack@google.com    sc_mutex mut;
13612855Sgabeblack@google.com    mut.lock();
13712855Sgabeblack@google.com    wait(10, SC_NS);
13812855Sgabeblack@google.com    mut.unlock();
13912855Sgabeblack@google.com  }
14012855Sgabeblack@google.com
14112855Sgabeblack@google.com  void reset_handler()
14212855Sgabeblack@google.com  {
14312855Sgabeblack@google.com    cout << "reset_handler() called at " << sc_time_stamp() << endl;
14412855Sgabeblack@google.com    sc_assert( sc_time_stamp() == sc_time(105, SC_NS) );
14512855Sgabeblack@google.com    sc_assert( !sc_is_unwinding() );
14612855Sgabeblack@google.com  }
14712855Sgabeblack@google.com
14812855Sgabeblack@google.com  void kill_handler()
14912855Sgabeblack@google.com  {
15012855Sgabeblack@google.com    cout << "kill_handler() called at " << sc_time_stamp() << endl;
15112855Sgabeblack@google.com    sc_assert( sc_time_stamp() == sc_time(125, SC_NS) );
15212855Sgabeblack@google.com    sc_assert( !sc_is_unwinding() );
15312855Sgabeblack@google.com  }
15412855Sgabeblack@google.com
15512855Sgabeblack@google.com  void T3()
15612855Sgabeblack@google.com  {
15712855Sgabeblack@google.com    wait(10, SC_NS);
15812855Sgabeblack@google.com    SC_FORK
15912855Sgabeblack@google.com      t3a = sc_spawn(sc_bind( &Top::T3A, this)),
16012855Sgabeblack@google.com      t3b = sc_spawn(sc_bind( &Top::T3B, this))
16112855Sgabeblack@google.com    SC_JOIN
16212855Sgabeblack@google.com    if (t3a.valid()) sc_assert( t3a.terminated() );
16312855Sgabeblack@google.com    if (t3b.valid()) sc_assert( t3b.terminated() );
16412855Sgabeblack@google.com    end_of_T3 = true;
16512855Sgabeblack@google.com  }
16612855Sgabeblack@google.com
16712855Sgabeblack@google.com  sc_process_handle t3a, t3b;
16812855Sgabeblack@google.com  bool T3A_called;
16912855Sgabeblack@google.com  bool T3B_called;
17012855Sgabeblack@google.com
17112855Sgabeblack@google.com  void T3A()
17212855Sgabeblack@google.com  {
17312855Sgabeblack@google.com    sc_assert( sc_time_stamp() == sc_time(10, SC_NS) );
17412855Sgabeblack@google.com    wait(5, SC_NS);
17512855Sgabeblack@google.com    T3A_called = true;
17612855Sgabeblack@google.com  }
17712855Sgabeblack@google.com
17812855Sgabeblack@google.com  void T3B()
17912855Sgabeblack@google.com  {
18012855Sgabeblack@google.com    sc_assert( sc_time_stamp() == sc_time(10, SC_NS) );
18112855Sgabeblack@google.com    wait(7, SC_NS);
18212855Sgabeblack@google.com    T3B_called = true;
18312855Sgabeblack@google.com  }
18412855Sgabeblack@google.com};
18512855Sgabeblack@google.com
18612855Sgabeblack@google.comint sc_main(int argc, char* argv[])
18712855Sgabeblack@google.com{
18812855Sgabeblack@google.com  Top top("top");
18912855Sgabeblack@google.com  sc_start();
19012855Sgabeblack@google.com
19112855Sgabeblack@google.com  while (sc_pending_activity() && sc_get_status() != SC_STOPPED)
19212855Sgabeblack@google.com  {
19312855Sgabeblack@google.com    cout << "Reentering sc_start at " << sc_time_stamp() << endl;
19412855Sgabeblack@google.com    sc_start(sc_time_to_pending_activity());
19512855Sgabeblack@google.com  }
19612855Sgabeblack@google.com
19712855Sgabeblack@google.com  cout << "sc_max_time() = " << sc_max_time() << endl;
19812855Sgabeblack@google.com  sc_assert( sc_get_status() == SC_STOPPED );
19912855Sgabeblack@google.com
20012855Sgabeblack@google.com  sc_assert( top.end_of_T1 );
20112855Sgabeblack@google.com  sc_assert( top.end_of_T3 );
20212855Sgabeblack@google.com  sc_assert( top.T3A_called );
20312855Sgabeblack@google.com  sc_assert( top.T3B_called );
20412855Sgabeblack@google.com
20512855Sgabeblack@google.com  cout << endl << "Success" << endl;
20612855Sgabeblack@google.com  return 0;
20712855Sgabeblack@google.com}
208