rename_impl.hh revision 2935
11689SN/A/*
22329SN/A * Copyright (c) 2004-2006 The Regents of The University of Michigan
31689SN/A * All rights reserved.
41689SN/A *
51689SN/A * Redistribution and use in source and binary forms, with or without
61689SN/A * modification, are permitted provided that the following conditions are
71689SN/A * met: redistributions of source code must retain the above copyright
81689SN/A * notice, this list of conditions and the following disclaimer;
91689SN/A * redistributions in binary form must reproduce the above copyright
101689SN/A * notice, this list of conditions and the following disclaimer in the
111689SN/A * documentation and/or other materials provided with the distribution;
121689SN/A * neither the name of the copyright holders nor the names of its
131689SN/A * contributors may be used to endorse or promote products derived from
141689SN/A * this software without specific prior written permission.
151689SN/A *
161689SN/A * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
171689SN/A * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
181689SN/A * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
191689SN/A * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
201689SN/A * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
211689SN/A * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
221689SN/A * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
231689SN/A * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
241689SN/A * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
251689SN/A * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
261689SN/A * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
272665Ssaidi@eecs.umich.edu *
282665Ssaidi@eecs.umich.edu * Authors: Kevin Lim
292935Sksewell@umich.edu *          Korey Sewell
301689SN/A */
311689SN/A
321060SN/A#include <list>
331060SN/A
341858SN/A#include "config/full_system.hh"
351717SN/A#include "cpu/o3/rename.hh"
361060SN/A
372292SN/Ausing namespace std;
382292SN/A
391061SN/Atemplate <class Impl>
402292SN/ADefaultRename<Impl>::DefaultRename(Params *params)
412292SN/A    : iewToRenameDelay(params->iewToRenameDelay),
422292SN/A      decodeToRenameDelay(params->decodeToRenameDelay),
432292SN/A      commitToRenameDelay(params->commitToRenameDelay),
442292SN/A      renameWidth(params->renameWidth),
452292SN/A      commitWidth(params->commitWidth),
462292SN/A      numThreads(params->numberOfThreads)
471060SN/A{
482292SN/A    _status = Inactive;
492292SN/A
502292SN/A    for (int i=0; i< numThreads; i++) {
512292SN/A        renameStatus[i] = Idle;
522292SN/A
532292SN/A        freeEntries[i].iqEntries = 0;
542292SN/A        freeEntries[i].lsqEntries = 0;
552292SN/A        freeEntries[i].robEntries = 0;
562292SN/A
572292SN/A        stalls[i].iew = false;
582292SN/A        stalls[i].commit = false;
592301SN/A        serializeInst[i] = NULL;
602292SN/A
612292SN/A        instsInProgress[i] = 0;
622292SN/A
632292SN/A        emptyROB[i] = true;
642292SN/A
652292SN/A        serializeOnNextInst[i] = false;
662292SN/A    }
672292SN/A
682292SN/A    // @todo: Make into a parameter.
692292SN/A    skidBufferMax = (2 * (iewToRenameDelay * params->decodeWidth)) + renameWidth;
702292SN/A}
712292SN/A
722292SN/Atemplate <class Impl>
732292SN/Astd::string
742292SN/ADefaultRename<Impl>::name() const
752292SN/A{
762292SN/A    return cpu->name() + ".rename";
771060SN/A}
781060SN/A
791061SN/Atemplate <class Impl>
801060SN/Avoid
812292SN/ADefaultRename<Impl>::regStats()
821062SN/A{
831062SN/A    renameSquashCycles
842301SN/A        .name(name() + ".RENAME:SquashCycles")
851062SN/A        .desc("Number of cycles rename is squashing")
861062SN/A        .prereq(renameSquashCycles);
871062SN/A    renameIdleCycles
882301SN/A        .name(name() + ".RENAME:IdleCycles")
891062SN/A        .desc("Number of cycles rename is idle")
901062SN/A        .prereq(renameIdleCycles);
911062SN/A    renameBlockCycles
922301SN/A        .name(name() + ".RENAME:BlockCycles")
931062SN/A        .desc("Number of cycles rename is blocking")
941062SN/A        .prereq(renameBlockCycles);
952301SN/A    renameSerializeStallCycles
962301SN/A        .name(name() + ".RENAME:serializeStallCycles")
972301SN/A        .desc("count of cycles rename stalled for serializing inst")
982301SN/A        .flags(Stats::total);
992292SN/A    renameRunCycles
1002301SN/A        .name(name() + ".RENAME:RunCycles")
1012292SN/A        .desc("Number of cycles rename is running")
1022292SN/A        .prereq(renameIdleCycles);
1031062SN/A    renameUnblockCycles
1042301SN/A        .name(name() + ".RENAME:UnblockCycles")
1051062SN/A        .desc("Number of cycles rename is unblocking")
1061062SN/A        .prereq(renameUnblockCycles);
1071062SN/A    renameRenamedInsts
1082301SN/A        .name(name() + ".RENAME:RenamedInsts")
1091062SN/A        .desc("Number of instructions processed by rename")
1101062SN/A        .prereq(renameRenamedInsts);
1111062SN/A    renameSquashedInsts
1122301SN/A        .name(name() + ".RENAME:SquashedInsts")
1131062SN/A        .desc("Number of squashed instructions processed by rename")
1141062SN/A        .prereq(renameSquashedInsts);
1151062SN/A    renameROBFullEvents
1162301SN/A        .name(name() + ".RENAME:ROBFullEvents")
1172292SN/A        .desc("Number of times rename has blocked due to ROB full")
1181062SN/A        .prereq(renameROBFullEvents);
1191062SN/A    renameIQFullEvents
1202301SN/A        .name(name() + ".RENAME:IQFullEvents")
1212292SN/A        .desc("Number of times rename has blocked due to IQ full")
1221062SN/A        .prereq(renameIQFullEvents);
1232292SN/A    renameLSQFullEvents
1242301SN/A        .name(name() + ".RENAME:LSQFullEvents")
1252292SN/A        .desc("Number of times rename has blocked due to LSQ full")
1262292SN/A        .prereq(renameLSQFullEvents);
1271062SN/A    renameFullRegistersEvents
1282301SN/A        .name(name() + ".RENAME:FullRegisterEvents")
1291062SN/A        .desc("Number of times there has been no free registers")
1301062SN/A        .prereq(renameFullRegistersEvents);
1311062SN/A    renameRenamedOperands
1322301SN/A        .name(name() + ".RENAME:RenamedOperands")
1331062SN/A        .desc("Number of destination operands rename has renamed")
1341062SN/A        .prereq(renameRenamedOperands);
1351062SN/A    renameRenameLookups
1362301SN/A        .name(name() + ".RENAME:RenameLookups")
1371062SN/A        .desc("Number of register rename lookups that rename has made")
1381062SN/A        .prereq(renameRenameLookups);
1391062SN/A    renameCommittedMaps
1402301SN/A        .name(name() + ".RENAME:CommittedMaps")
1411062SN/A        .desc("Number of HB maps that are committed")
1421062SN/A        .prereq(renameCommittedMaps);
1431062SN/A    renameUndoneMaps
1442301SN/A        .name(name() + ".RENAME:UndoneMaps")
1451062SN/A        .desc("Number of HB maps that are undone due to squashing")
1461062SN/A        .prereq(renameUndoneMaps);
1472301SN/A    renamedSerializing
1482301SN/A        .name(name() + ".RENAME:serializingInsts")
1492301SN/A        .desc("count of serializing insts renamed")
1502301SN/A        .flags(Stats::total)
1512301SN/A        ;
1522301SN/A    renamedTempSerializing
1532301SN/A        .name(name() + ".RENAME:tempSerializingInsts")
1542301SN/A        .desc("count of temporary serializing insts renamed")
1552301SN/A        .flags(Stats::total)
1562301SN/A        ;
1572307SN/A    renameSkidInsts
1582307SN/A        .name(name() + ".RENAME:skidInsts")
1592307SN/A        .desc("count of insts added to the skid buffer")
1602307SN/A        .flags(Stats::total)
1612307SN/A        ;
1621062SN/A}
1631062SN/A
1641062SN/Atemplate <class Impl>
1651062SN/Avoid
1662733Sktlim@umich.eduDefaultRename<Impl>::setCPU(O3CPU *cpu_ptr)
1671060SN/A{
1682292SN/A    DPRINTF(Rename, "Setting CPU pointer.\n");
1691060SN/A    cpu = cpu_ptr;
1701060SN/A}
1711060SN/A
1721061SN/Atemplate <class Impl>
1731060SN/Avoid
1742292SN/ADefaultRename<Impl>::setTimeBuffer(TimeBuffer<TimeStruct> *tb_ptr)
1751060SN/A{
1762292SN/A    DPRINTF(Rename, "Setting time buffer pointer.\n");
1771060SN/A    timeBuffer = tb_ptr;
1781060SN/A
1791060SN/A    // Setup wire to read information from time buffer, from IEW stage.
1801060SN/A    fromIEW = timeBuffer->getWire(-iewToRenameDelay);
1811060SN/A
1821060SN/A    // Setup wire to read infromation from time buffer, from commit stage.
1831060SN/A    fromCommit = timeBuffer->getWire(-commitToRenameDelay);
1841060SN/A
1851060SN/A    // Setup wire to write information to previous stages.
1861060SN/A    toDecode = timeBuffer->getWire(0);
1871060SN/A}
1881060SN/A
1891061SN/Atemplate <class Impl>
1901060SN/Avoid
1912292SN/ADefaultRename<Impl>::setRenameQueue(TimeBuffer<RenameStruct> *rq_ptr)
1921060SN/A{
1932292SN/A    DPRINTF(Rename, "Setting rename queue pointer.\n");
1941060SN/A    renameQueue = rq_ptr;
1951060SN/A
1961060SN/A    // Setup wire to write information to future stages.
1971060SN/A    toIEW = renameQueue->getWire(0);
1981060SN/A}
1991060SN/A
2001061SN/Atemplate <class Impl>
2011060SN/Avoid
2022292SN/ADefaultRename<Impl>::setDecodeQueue(TimeBuffer<DecodeStruct> *dq_ptr)
2031060SN/A{
2042292SN/A    DPRINTF(Rename, "Setting decode queue pointer.\n");
2051060SN/A    decodeQueue = dq_ptr;
2061060SN/A
2071060SN/A    // Setup wire to get information from decode.
2081060SN/A    fromDecode = decodeQueue->getWire(-decodeToRenameDelay);
2091060SN/A}
2101060SN/A
2111061SN/Atemplate <class Impl>
2121060SN/Avoid
2132292SN/ADefaultRename<Impl>::initStage()
2141060SN/A{
2152329SN/A    // Grab the number of free entries directly from the stages.
2162292SN/A    for (int tid=0; tid < numThreads; tid++) {
2172292SN/A        freeEntries[tid].iqEntries = iew_ptr->instQueue.numFreeEntries(tid);
2182292SN/A        freeEntries[tid].lsqEntries = iew_ptr->ldstQueue.numFreeEntries(tid);
2192292SN/A        freeEntries[tid].robEntries = commit_ptr->numROBFreeEntries(tid);
2202292SN/A        emptyROB[tid] = true;
2212292SN/A    }
2221060SN/A}
2231060SN/A
2242292SN/Atemplate<class Impl>
2252292SN/Avoid
2262292SN/ADefaultRename<Impl>::setActiveThreads(list<unsigned> *at_ptr)
2272292SN/A{
2282292SN/A    DPRINTF(Rename, "Setting active threads list pointer.\n");
2292292SN/A    activeThreads = at_ptr;
2302292SN/A}
2312292SN/A
2322292SN/A
2331061SN/Atemplate <class Impl>
2341060SN/Avoid
2352292SN/ADefaultRename<Impl>::setRenameMap(RenameMap rm_ptr[])
2361060SN/A{
2372292SN/A    DPRINTF(Rename, "Setting rename map pointers.\n");
2381060SN/A
2392292SN/A    for (int i=0; i<numThreads; i++) {
2402292SN/A        renameMap[i] = &rm_ptr[i];
2411060SN/A    }
2421060SN/A}
2431060SN/A
2441061SN/Atemplate <class Impl>
2451060SN/Avoid
2462292SN/ADefaultRename<Impl>::setFreeList(FreeList *fl_ptr)
2471060SN/A{
2482292SN/A    DPRINTF(Rename, "Setting free list pointer.\n");
2492292SN/A    freeList = fl_ptr;
2502292SN/A}
2511060SN/A
2522292SN/Atemplate<class Impl>
2532292SN/Avoid
2542292SN/ADefaultRename<Impl>::setScoreboard(Scoreboard *_scoreboard)
2552292SN/A{
2562292SN/A    DPRINTF(Rename, "Setting scoreboard pointer.\n");
2572292SN/A    scoreboard = _scoreboard;
2581060SN/A}
2591060SN/A
2601061SN/Atemplate <class Impl>
2612863Sktlim@umich.edubool
2622843Sktlim@umich.eduDefaultRename<Impl>::drain()
2631060SN/A{
2642348SN/A    // Rename is ready to switch out at any time.
2652843Sktlim@umich.edu    cpu->signalDrained();
2662863Sktlim@umich.edu    return true;
2672316SN/A}
2681060SN/A
2692316SN/Atemplate <class Impl>
2702316SN/Avoid
2712843Sktlim@umich.eduDefaultRename<Impl>::switchOut()
2722316SN/A{
2732348SN/A    // Clear any state, fix up the rename map.
2742307SN/A    for (int i = 0; i < numThreads; i++) {
2752307SN/A        typename list<RenameHistory>::iterator hb_it = historyBuffer[i].begin();
2762307SN/A
2772307SN/A        while (!historyBuffer[i].empty()) {
2782307SN/A            assert(hb_it != historyBuffer[i].end());
2792307SN/A
2802307SN/A            DPRINTF(Rename, "[tid:%u]: Removing history entry with sequence "
2812307SN/A                    "number %i.\n", i, (*hb_it).instSeqNum);
2822307SN/A
2832307SN/A            // Tell the rename map to set the architected register to the
2842307SN/A            // previous physical register that it was renamed to.
2852307SN/A            renameMap[i]->setEntry(hb_it->archReg, hb_it->prevPhysReg);
2862307SN/A
2872307SN/A            // Put the renamed physical register back on the free list.
2882307SN/A            freeList->addReg(hb_it->newPhysReg);
2892307SN/A
2902307SN/A            historyBuffer[i].erase(hb_it++);
2912307SN/A        }
2922307SN/A        insts[i].clear();
2932307SN/A        skidBuffer[i].clear();
2941060SN/A    }
2951060SN/A}
2961060SN/A
2971061SN/Atemplate <class Impl>
2981060SN/Avoid
2992307SN/ADefaultRename<Impl>::takeOverFrom()
3001060SN/A{
3012307SN/A    _status = Inactive;
3022307SN/A    initStage();
3031060SN/A
3042329SN/A    // Reset all state prior to taking over from the other CPU.
3052307SN/A    for (int i=0; i< numThreads; i++) {
3062307SN/A        renameStatus[i] = Idle;
3071060SN/A
3082307SN/A        stalls[i].iew = false;
3092307SN/A        stalls[i].commit = false;
3102307SN/A        serializeInst[i] = NULL;
3112307SN/A
3122307SN/A        instsInProgress[i] = 0;
3132307SN/A
3142307SN/A        emptyROB[i] = true;
3152307SN/A
3162307SN/A        serializeOnNextInst[i] = false;
3172307SN/A    }
3182307SN/A}
3192307SN/A
3202307SN/Atemplate <class Impl>
3212307SN/Avoid
3222935Sksewell@umich.eduDefaultRename<Impl>::squash(const InstSeqNum &squash_seq_num, unsigned tid)
3231858SN/A{
3242292SN/A    DPRINTF(Rename, "[tid:%u]: Squashing instructions.\n",tid);
3251858SN/A
3262292SN/A    // Clear the stall signal if rename was blocked or unblocking before.
3272292SN/A    // If it still needs to block, the blocking should happen the next
3282292SN/A    // cycle and there should be space to hold everything due to the squash.
3292292SN/A    if (renameStatus[tid] == Blocked ||
3302292SN/A        renameStatus[tid] == Unblocking ||
3312301SN/A        renameStatus[tid] == SerializeStall) {
3322698Sktlim@umich.edu
3332292SN/A        toDecode->renameUnblock[tid] = 1;
3342698Sktlim@umich.edu
3352301SN/A        serializeInst[tid] = NULL;
3362292SN/A    }
3372292SN/A
3382292SN/A    // Set the status to Squashing.
3392292SN/A    renameStatus[tid] = Squashing;
3402292SN/A
3412329SN/A    // Squash any instructions from decode.
3422292SN/A    unsigned squashCount = 0;
3432292SN/A
3442292SN/A    for (int i=0; i<fromDecode->size; i++) {
3452935Sksewell@umich.edu        if (fromDecode->insts[i]->threadNumber == tid &&
3462935Sksewell@umich.edu            fromDecode->insts[i]->seqNum > squash_seq_num) {
3472731Sktlim@umich.edu            fromDecode->insts[i]->setSquashed();
3482292SN/A            wroteToTimeBuffer = true;
3492292SN/A            squashCount++;
3502292SN/A        }
3512935Sksewell@umich.edu
3522292SN/A    }
3532292SN/A
3542935Sksewell@umich.edu    // Clear the instruction list and skid buffer in case they have any
3552935Sksewell@umich.edu    // insts in them. Since we support multiple ISAs, we cant just:
3562935Sksewell@umich.edu    // "insts[tid].clear();" or "skidBuffer[tid].clear()" since there is
3572935Sksewell@umich.edu    // a possible delay slot inst for different architectures
3582935Sksewell@umich.edu    // insts[tid].clear();
3592935Sksewell@umich.edu#if THE_ISA == ALPHA_ISA
3602292SN/A    insts[tid].clear();
3612935Sksewell@umich.edu#else
3622935Sksewell@umich.edu    DPRINTF(Rename, "[tid:%i] Squashing incoming decode instructions until "
3632935Sksewell@umich.edu            "[sn:%i].\n",tid, squash_seq_num);
3642935Sksewell@umich.edu    ListIt ilist_it = insts[tid].begin();
3652935Sksewell@umich.edu    while (ilist_it != insts[tid].end()) {
3662935Sksewell@umich.edu        if ((*ilist_it)->seqNum > squash_seq_num) {
3672935Sksewell@umich.edu            (*ilist_it)->setSquashed();
3682935Sksewell@umich.edu            DPRINTF(Rename, "Squashing incoming decode instruction, "
3692935Sksewell@umich.edu                    "[tid:%i] [sn:%i] PC %08p.\n", tid, (*ilist_it)->seqNum, (*ilist_it)->PC);
3702935Sksewell@umich.edu        }
3712935Sksewell@umich.edu        ilist_it++;
3722935Sksewell@umich.edu    }
3732935Sksewell@umich.edu#endif
3742292SN/A
3752292SN/A    // Clear the skid buffer in case it has any data in it.
3762935Sksewell@umich.edu    // See comments above.
3772935Sksewell@umich.edu    //     skidBuffer[tid].clear();
3782935Sksewell@umich.edu#if THE_ISA == ALPHA_ISA
3792292SN/A    skidBuffer[tid].clear();
3802935Sksewell@umich.edu#else
3812935Sksewell@umich.edu    DPRINTF(Rename, "[tid:%i] Squashing incoming skidbuffer instructions "
3822935Sksewell@umich.edu            "until [sn:%i].\n", tid, squash_seq_num);
3832935Sksewell@umich.edu    ListIt slist_it = skidBuffer[tid].begin();
3842935Sksewell@umich.edu    while (slist_it != skidBuffer[tid].end()) {
3852935Sksewell@umich.edu        if ((*slist_it)->seqNum > squash_seq_num) {
3862935Sksewell@umich.edu            (*slist_it)->setSquashed();
3872935Sksewell@umich.edu            DPRINTF(Rename, "Squashing skidbuffer instruction, [tid:%i] [sn:%i]"
3882935Sksewell@umich.edu                    "PC %08p.\n", tid, (*slist_it)->seqNum, (*slist_it)->PC);
3892935Sksewell@umich.edu        }
3902935Sksewell@umich.edu        slist_it++;
3912935Sksewell@umich.edu    }
3922935Sksewell@umich.edu#endif
3932935Sksewell@umich.edu    doSquash(squash_seq_num, tid);
3942292SN/A}
3952292SN/A
3962292SN/Atemplate <class Impl>
3972292SN/Avoid
3982292SN/ADefaultRename<Impl>::tick()
3992292SN/A{
4002292SN/A    wroteToTimeBuffer = false;
4012292SN/A
4022292SN/A    blockThisCycle = false;
4032292SN/A
4042292SN/A    bool status_change = false;
4052292SN/A
4062292SN/A    toIEWIndex = 0;
4072292SN/A
4082292SN/A    sortInsts();
4092292SN/A
4102292SN/A    list<unsigned>::iterator threads = (*activeThreads).begin();
4112292SN/A
4122292SN/A    // Check stall and squash signals.
4132292SN/A    while (threads != (*activeThreads).end()) {
4142292SN/A        unsigned tid = *threads++;
4152292SN/A
4162292SN/A        DPRINTF(Rename, "Processing [tid:%i]\n", tid);
4172292SN/A
4182292SN/A        status_change = checkSignalsAndUpdate(tid) || status_change;
4192292SN/A
4202292SN/A        rename(status_change, tid);
4212292SN/A    }
4222292SN/A
4232292SN/A    if (status_change) {
4242292SN/A        updateStatus();
4252292SN/A    }
4262292SN/A
4272292SN/A    if (wroteToTimeBuffer) {
4282292SN/A        DPRINTF(Activity, "Activity this cycle.\n");
4292292SN/A        cpu->activityThisCycle();
4302292SN/A    }
4312292SN/A
4322292SN/A    threads = (*activeThreads).begin();
4332292SN/A
4342292SN/A    while (threads != (*activeThreads).end()) {
4352292SN/A        unsigned tid = *threads++;
4362292SN/A
4372292SN/A        // If we committed this cycle then doneSeqNum will be > 0
4382292SN/A        if (fromCommit->commitInfo[tid].doneSeqNum != 0 &&
4392292SN/A            !fromCommit->commitInfo[tid].squash &&
4402292SN/A            renameStatus[tid] != Squashing) {
4412292SN/A
4422292SN/A            removeFromHistory(fromCommit->commitInfo[tid].doneSeqNum,
4432292SN/A                                  tid);
4442292SN/A        }
4452292SN/A    }
4462292SN/A
4472292SN/A    // @todo: make into updateProgress function
4482292SN/A    for (int tid=0; tid < numThreads; tid++) {
4492292SN/A        instsInProgress[tid] -= fromIEW->iewInfo[tid].dispatched;
4502292SN/A
4512292SN/A        assert(instsInProgress[tid] >=0);
4522292SN/A    }
4532292SN/A
4542292SN/A}
4552292SN/A
4562292SN/Atemplate<class Impl>
4572292SN/Avoid
4582292SN/ADefaultRename<Impl>::rename(bool &status_change, unsigned tid)
4592292SN/A{
4602292SN/A    // If status is Running or idle,
4612292SN/A    //     call renameInsts()
4622292SN/A    // If status is Unblocking,
4632292SN/A    //     buffer any instructions coming from decode
4642292SN/A    //     continue trying to empty skid buffer
4652292SN/A    //     check if stall conditions have passed
4662292SN/A
4672292SN/A    if (renameStatus[tid] == Blocked) {
4682292SN/A        ++renameBlockCycles;
4692292SN/A    } else if (renameStatus[tid] == Squashing) {
4702292SN/A        ++renameSquashCycles;
4712301SN/A    } else if (renameStatus[tid] == SerializeStall) {
4722301SN/A        ++renameSerializeStallCycles;
4732292SN/A    }
4742292SN/A
4752292SN/A    if (renameStatus[tid] == Running ||
4762292SN/A        renameStatus[tid] == Idle) {
4772292SN/A        DPRINTF(Rename, "[tid:%u]: Not blocked, so attempting to run "
4782292SN/A                "stage.\n", tid);
4792292SN/A
4802292SN/A        renameInsts(tid);
4812292SN/A    } else if (renameStatus[tid] == Unblocking) {
4822292SN/A        renameInsts(tid);
4832292SN/A
4842292SN/A        if (validInsts()) {
4852292SN/A            // Add the current inputs to the skid buffer so they can be
4862292SN/A            // reprocessed when this stage unblocks.
4872292SN/A            skidInsert(tid);
4882292SN/A        }
4892292SN/A
4902292SN/A        // If we switched over to blocking, then there's a potential for
4912292SN/A        // an overall status change.
4922292SN/A        status_change = unblock(tid) || status_change || blockThisCycle;
4931858SN/A    }
4941858SN/A}
4951858SN/A
4961858SN/Atemplate <class Impl>
4971858SN/Avoid
4982292SN/ADefaultRename<Impl>::renameInsts(unsigned tid)
4991858SN/A{
5002292SN/A    // Instructions can be either in the skid buffer or the queue of
5012292SN/A    // instructions coming from decode, depending on the status.
5022292SN/A    int insts_available = renameStatus[tid] == Unblocking ?
5032292SN/A        skidBuffer[tid].size() : insts[tid].size();
5041858SN/A
5052292SN/A    // Check the decode queue to see if instructions are available.
5062292SN/A    // If there are no available instructions to rename, then do nothing.
5072292SN/A    if (insts_available == 0) {
5082292SN/A        DPRINTF(Rename, "[tid:%u]: Nothing to do, breaking out early.\n",
5092292SN/A                tid);
5102292SN/A        // Should I change status to idle?
5112292SN/A        ++renameIdleCycles;
5122292SN/A        return;
5132292SN/A    } else if (renameStatus[tid] == Unblocking) {
5142292SN/A        ++renameUnblockCycles;
5152292SN/A    } else if (renameStatus[tid] == Running) {
5162292SN/A        ++renameRunCycles;
5172292SN/A    }
5181858SN/A
5192292SN/A    DynInstPtr inst;
5202292SN/A
5212292SN/A    // Will have to do a different calculation for the number of free
5222292SN/A    // entries.
5232292SN/A    int free_rob_entries = calcFreeROBEntries(tid);
5242292SN/A    int free_iq_entries  = calcFreeIQEntries(tid);
5252292SN/A    int free_lsq_entries = calcFreeLSQEntries(tid);
5262292SN/A    int min_free_entries = free_rob_entries;
5272292SN/A
5282292SN/A    FullSource source = ROB;
5292292SN/A
5302292SN/A    if (free_iq_entries < min_free_entries) {
5312292SN/A        min_free_entries = free_iq_entries;
5322292SN/A        source = IQ;
5332292SN/A    }
5342292SN/A
5352292SN/A    if (free_lsq_entries < min_free_entries) {
5362292SN/A        min_free_entries = free_lsq_entries;
5372292SN/A        source = LSQ;
5382292SN/A    }
5392292SN/A
5402292SN/A    // Check if there's any space left.
5412292SN/A    if (min_free_entries <= 0) {
5422292SN/A        DPRINTF(Rename, "[tid:%u]: Blocking due to no free ROB/IQ/LSQ "
5432292SN/A                "entries.\n"
5442292SN/A                "ROB has %i free entries.\n"
5452292SN/A                "IQ has %i free entries.\n"
5462292SN/A                "LSQ has %i free entries.\n",
5472292SN/A                tid,
5482292SN/A                free_rob_entries,
5492292SN/A                free_iq_entries,
5502292SN/A                free_lsq_entries);
5512292SN/A
5522292SN/A        blockThisCycle = true;
5532292SN/A
5542292SN/A        block(tid);
5552292SN/A
5562292SN/A        incrFullStat(source);
5572292SN/A
5582292SN/A        return;
5592292SN/A    } else if (min_free_entries < insts_available) {
5602292SN/A        DPRINTF(Rename, "[tid:%u]: Will have to block this cycle."
5612292SN/A                "%i insts available, but only %i insts can be "
5622292SN/A                "renamed due to ROB/IQ/LSQ limits.\n",
5632292SN/A                tid, insts_available, min_free_entries);
5642292SN/A
5652292SN/A        insts_available = min_free_entries;
5662292SN/A
5672292SN/A        blockThisCycle = true;
5682292SN/A
5692292SN/A        incrFullStat(source);
5702292SN/A    }
5712292SN/A
5722292SN/A    InstQueue &insts_to_rename = renameStatus[tid] == Unblocking ?
5732292SN/A        skidBuffer[tid] : insts[tid];
5742292SN/A
5752292SN/A    DPRINTF(Rename, "[tid:%u]: %i available instructions to "
5762292SN/A            "send iew.\n", tid, insts_available);
5772292SN/A
5782292SN/A    DPRINTF(Rename, "[tid:%u]: %i insts pipelining from Rename | %i insts "
5792292SN/A            "dispatched to IQ last cycle.\n",
5802292SN/A            tid, instsInProgress[tid], fromIEW->iewInfo[tid].dispatched);
5812292SN/A
5822292SN/A    // Handle serializing the next instruction if necessary.
5832292SN/A    if (serializeOnNextInst[tid]) {
5842292SN/A        if (emptyROB[tid] && instsInProgress[tid] == 0) {
5852292SN/A            // ROB already empty; no need to serialize.
5862292SN/A            serializeOnNextInst[tid] = false;
5872292SN/A        } else if (!insts_to_rename.empty()) {
5882292SN/A            insts_to_rename.front()->setSerializeBefore();
5892292SN/A        }
5902292SN/A    }
5912292SN/A
5922292SN/A    int renamed_insts = 0;
5932292SN/A
5942292SN/A    while (insts_available > 0 &&  toIEWIndex < renameWidth) {
5952292SN/A        DPRINTF(Rename, "[tid:%u]: Sending instructions to IEW.\n", tid);
5962292SN/A
5972292SN/A        assert(!insts_to_rename.empty());
5982292SN/A
5992292SN/A        inst = insts_to_rename.front();
6002292SN/A
6012292SN/A        insts_to_rename.pop_front();
6022292SN/A
6032292SN/A        if (renameStatus[tid] == Unblocking) {
6042292SN/A            DPRINTF(Rename,"[tid:%u]: Removing [sn:%lli] PC:%#x from rename "
6052292SN/A                    "skidBuffer\n",
6062292SN/A                    tid, inst->seqNum, inst->readPC());
6072292SN/A        }
6082292SN/A
6092292SN/A        if (inst->isSquashed()) {
6102292SN/A            DPRINTF(Rename, "[tid:%u]: instruction %i with PC %#x is "
6112292SN/A                    "squashed, skipping.\n",
6122935Sksewell@umich.edu                    tid, inst->seqNum, inst->readPC());
6132292SN/A
6142292SN/A            ++renameSquashedInsts;
6152292SN/A
6162292SN/A            // Decrement how many instructions are available.
6172292SN/A            --insts_available;
6182292SN/A
6192292SN/A            continue;
6202292SN/A        }
6212292SN/A
6222292SN/A        DPRINTF(Rename, "[tid:%u]: Processing instruction [sn:%lli] with "
6232292SN/A                "PC %#x.\n",
6242292SN/A                tid, inst->seqNum, inst->readPC());
6252292SN/A
6262292SN/A        // Handle serializeAfter/serializeBefore instructions.
6272292SN/A        // serializeAfter marks the next instruction as serializeBefore.
6282292SN/A        // serializeBefore makes the instruction wait in rename until the ROB
6292292SN/A        // is empty.
6302336SN/A
6312336SN/A        // In this model, IPR accesses are serialize before
6322336SN/A        // instructions, and store conditionals are serialize after
6332336SN/A        // instructions.  This is mainly due to lack of support for
6342336SN/A        // out-of-order operations of either of those classes of
6352336SN/A        // instructions.
6362336SN/A        if ((inst->isIprAccess() || inst->isSerializeBefore()) &&
6372336SN/A            !inst->isSerializeHandled()) {
6382292SN/A            DPRINTF(Rename, "Serialize before instruction encountered.\n");
6392292SN/A
6402301SN/A            if (!inst->isTempSerializeBefore()) {
6412301SN/A                renamedSerializing++;
6422292SN/A                inst->setSerializeHandled();
6432301SN/A            } else {
6442301SN/A                renamedTempSerializing++;
6452301SN/A            }
6462292SN/A
6472301SN/A            // Change status over to SerializeStall so that other stages know
6482292SN/A            // what this is blocked on.
6492301SN/A            renameStatus[tid] = SerializeStall;
6502292SN/A
6512301SN/A            serializeInst[tid] = inst;
6522292SN/A
6532292SN/A            blockThisCycle = true;
6542292SN/A
6552292SN/A            break;
6562336SN/A        } else if ((inst->isStoreConditional() || inst->isSerializeAfter()) &&
6572336SN/A                   !inst->isSerializeHandled()) {
6582292SN/A            DPRINTF(Rename, "Serialize after instruction encountered.\n");
6592292SN/A
6602307SN/A            renamedSerializing++;
6612307SN/A
6622292SN/A            inst->setSerializeHandled();
6632292SN/A
6642292SN/A            serializeAfter(insts_to_rename, tid);
6652292SN/A        }
6662292SN/A
6672292SN/A        // Check here to make sure there are enough destination registers
6682292SN/A        // to rename to.  Otherwise block.
6692292SN/A        if (renameMap[tid]->numFreeEntries() < inst->numDestRegs()) {
6702292SN/A            DPRINTF(Rename, "Blocking due to lack of free "
6712292SN/A                    "physical registers to rename to.\n");
6722292SN/A            blockThisCycle = true;
6732292SN/A
6742292SN/A            ++renameFullRegistersEvents;
6752292SN/A
6762292SN/A            break;
6772292SN/A        }
6782292SN/A
6792292SN/A        renameSrcRegs(inst, inst->threadNumber);
6802292SN/A
6812292SN/A        renameDestRegs(inst, inst->threadNumber);
6822292SN/A
6832292SN/A        ++renamed_insts;
6842292SN/A
6852292SN/A        // Put instruction in rename queue.
6862292SN/A        toIEW->insts[toIEWIndex] = inst;
6872292SN/A        ++(toIEW->size);
6882292SN/A
6892292SN/A        // Increment which instruction we're on.
6902292SN/A        ++toIEWIndex;
6912292SN/A
6922292SN/A        // Decrement how many instructions are available.
6932292SN/A        --insts_available;
6942292SN/A    }
6952292SN/A
6962292SN/A    instsInProgress[tid] += renamed_insts;
6972307SN/A    renameRenamedInsts += renamed_insts;
6982292SN/A
6992292SN/A    // If we wrote to the time buffer, record this.
7002292SN/A    if (toIEWIndex) {
7012292SN/A        wroteToTimeBuffer = true;
7022292SN/A    }
7032292SN/A
7042292SN/A    // Check if there's any instructions left that haven't yet been renamed.
7052292SN/A    // If so then block.
7062292SN/A    if (insts_available) {
7072292SN/A        blockThisCycle = true;
7082292SN/A    }
7092292SN/A
7102292SN/A    if (blockThisCycle) {
7112292SN/A        block(tid);
7122292SN/A        toDecode->renameUnblock[tid] = false;
7132292SN/A    }
7142292SN/A}
7152292SN/A
7162292SN/Atemplate<class Impl>
7172292SN/Avoid
7182292SN/ADefaultRename<Impl>::skidInsert(unsigned tid)
7192292SN/A{
7202292SN/A    DynInstPtr inst = NULL;
7212292SN/A
7222292SN/A    while (!insts[tid].empty()) {
7232292SN/A        inst = insts[tid].front();
7242292SN/A
7252292SN/A        insts[tid].pop_front();
7262292SN/A
7272292SN/A        assert(tid == inst->threadNumber);
7282292SN/A
7292292SN/A        DPRINTF(Rename, "[tid:%u]: Inserting [sn:%lli] PC:%#x into Rename "
7302292SN/A                "skidBuffer\n", tid, inst->seqNum, inst->readPC());
7312292SN/A
7322307SN/A        ++renameSkidInsts;
7332307SN/A
7342292SN/A        skidBuffer[tid].push_back(inst);
7352292SN/A    }
7362292SN/A
7372292SN/A    if (skidBuffer[tid].size() > skidBufferMax)
7382292SN/A        panic("Skidbuffer Exceeded Max Size");
7392292SN/A}
7402292SN/A
7412292SN/Atemplate <class Impl>
7422292SN/Avoid
7432292SN/ADefaultRename<Impl>::sortInsts()
7442292SN/A{
7452292SN/A    int insts_from_decode = fromDecode->size;
7462329SN/A#ifdef DEBUG
7472935Sksewell@umich.edu#if THE_ISA == ALPHA_ISA
7482292SN/A    for (int i=0; i < numThreads; i++)
7492292SN/A        assert(insts[i].empty());
7502329SN/A#endif
7512935Sksewell@umich.edu#endif
7522292SN/A    for (int i = 0; i < insts_from_decode; ++i) {
7532292SN/A        DynInstPtr inst = fromDecode->insts[i];
7542292SN/A        insts[inst->threadNumber].push_back(inst);
7552292SN/A    }
7562292SN/A}
7572292SN/A
7582292SN/Atemplate<class Impl>
7592292SN/Abool
7602292SN/ADefaultRename<Impl>::skidsEmpty()
7612292SN/A{
7622292SN/A    list<unsigned>::iterator threads = (*activeThreads).begin();
7632292SN/A
7642292SN/A    while (threads != (*activeThreads).end()) {
7652292SN/A        if (!skidBuffer[*threads++].empty())
7662292SN/A            return false;
7672292SN/A    }
7682292SN/A
7692292SN/A    return true;
7702292SN/A}
7712292SN/A
7722292SN/Atemplate<class Impl>
7732292SN/Avoid
7742292SN/ADefaultRename<Impl>::updateStatus()
7752292SN/A{
7762292SN/A    bool any_unblocking = false;
7772292SN/A
7782292SN/A    list<unsigned>::iterator threads = (*activeThreads).begin();
7792292SN/A
7802292SN/A    threads = (*activeThreads).begin();
7812292SN/A
7822292SN/A    while (threads != (*activeThreads).end()) {
7832292SN/A        unsigned tid = *threads++;
7842292SN/A
7852292SN/A        if (renameStatus[tid] == Unblocking) {
7862292SN/A            any_unblocking = true;
7872292SN/A            break;
7882292SN/A        }
7892292SN/A    }
7902292SN/A
7912292SN/A    // Rename will have activity if it's unblocking.
7922292SN/A    if (any_unblocking) {
7932292SN/A        if (_status == Inactive) {
7942292SN/A            _status = Active;
7952292SN/A
7962292SN/A            DPRINTF(Activity, "Activating stage.\n");
7972292SN/A
7982733Sktlim@umich.edu            cpu->activateStage(O3CPU::RenameIdx);
7992292SN/A        }
8002292SN/A    } else {
8012292SN/A        // If it's not unblocking, then rename will not have any internal
8022292SN/A        // activity.  Switch it to inactive.
8032292SN/A        if (_status == Active) {
8042292SN/A            _status = Inactive;
8052292SN/A            DPRINTF(Activity, "Deactivating stage.\n");
8062292SN/A
8072733Sktlim@umich.edu            cpu->deactivateStage(O3CPU::RenameIdx);
8082292SN/A        }
8092292SN/A    }
8102292SN/A}
8112292SN/A
8122292SN/Atemplate <class Impl>
8132292SN/Abool
8142292SN/ADefaultRename<Impl>::block(unsigned tid)
8152292SN/A{
8162292SN/A    DPRINTF(Rename, "[tid:%u]: Blocking.\n", tid);
8172292SN/A
8182292SN/A    // Add the current inputs onto the skid buffer, so they can be
8192292SN/A    // reprocessed when this stage unblocks.
8202292SN/A    skidInsert(tid);
8212292SN/A
8222292SN/A    // Only signal backwards to block if the previous stages do not think
8232292SN/A    // rename is already blocked.
8242292SN/A    if (renameStatus[tid] != Blocked) {
8252292SN/A        if (renameStatus[tid] != Unblocking) {
8262292SN/A            toDecode->renameBlock[tid] = true;
8272292SN/A            toDecode->renameUnblock[tid] = false;
8282292SN/A            wroteToTimeBuffer = true;
8292292SN/A        }
8302292SN/A
8312329SN/A        // Rename can not go from SerializeStall to Blocked, otherwise
8322329SN/A        // it would not know to complete the serialize stall.
8332301SN/A        if (renameStatus[tid] != SerializeStall) {
8342292SN/A            // Set status to Blocked.
8352292SN/A            renameStatus[tid] = Blocked;
8362292SN/A            return true;
8372292SN/A        }
8382292SN/A    }
8392292SN/A
8402292SN/A    return false;
8412292SN/A}
8422292SN/A
8432292SN/Atemplate <class Impl>
8442292SN/Abool
8452292SN/ADefaultRename<Impl>::unblock(unsigned tid)
8462292SN/A{
8472292SN/A    DPRINTF(Rename, "[tid:%u]: Trying to unblock.\n", tid);
8482292SN/A
8492292SN/A    // Rename is done unblocking if the skid buffer is empty.
8502301SN/A    if (skidBuffer[tid].empty() && renameStatus[tid] != SerializeStall) {
8512292SN/A
8522292SN/A        DPRINTF(Rename, "[tid:%u]: Done unblocking.\n", tid);
8532292SN/A
8542292SN/A        toDecode->renameUnblock[tid] = true;
8552292SN/A        wroteToTimeBuffer = true;
8562292SN/A
8572292SN/A        renameStatus[tid] = Running;
8582292SN/A        return true;
8592292SN/A    }
8602292SN/A
8612292SN/A    return false;
8622292SN/A}
8632292SN/A
8642292SN/Atemplate <class Impl>
8652292SN/Avoid
8662935Sksewell@umich.eduDefaultRename<Impl>::doSquash(const InstSeqNum &squashed_seq_num, unsigned tid)
8672292SN/A{
8682292SN/A    typename list<RenameHistory>::iterator hb_it = historyBuffer[tid].begin();
8692292SN/A
8701060SN/A    // After a syscall squashes everything, the history buffer may be empty
8711060SN/A    // but the ROB may still be squashing instructions.
8722292SN/A    if (historyBuffer[tid].empty()) {
8731060SN/A        return;
8741060SN/A    }
8751060SN/A
8761060SN/A    // Go through the most recent instructions, undoing the mappings
8771060SN/A    // they did and freeing up the registers.
8782292SN/A    while (!historyBuffer[tid].empty() &&
8792292SN/A           (*hb_it).instSeqNum > squashed_seq_num) {
8802292SN/A        assert(hb_it != historyBuffer[tid].end());
8811062SN/A
8822292SN/A        DPRINTF(Rename, "[tid:%u]: Removing history entry with sequence "
8832292SN/A                "number %i.\n", tid, (*hb_it).instSeqNum);
8841060SN/A
8852292SN/A        // Tell the rename map to set the architected register to the
8862292SN/A        // previous physical register that it was renamed to.
8872292SN/A        renameMap[tid]->setEntry(hb_it->archReg, hb_it->prevPhysReg);
8881060SN/A
8892292SN/A        // Put the renamed physical register back on the free list.
8902292SN/A        freeList->addReg(hb_it->newPhysReg);
8911062SN/A
8922292SN/A        historyBuffer[tid].erase(hb_it++);
8931061SN/A
8941062SN/A        ++renameUndoneMaps;
8951060SN/A    }
8961060SN/A}
8971060SN/A
8981060SN/Atemplate<class Impl>
8991060SN/Avoid
9002292SN/ADefaultRename<Impl>::removeFromHistory(InstSeqNum inst_seq_num, unsigned tid)
9011060SN/A{
9022292SN/A    DPRINTF(Rename, "[tid:%u]: Removing a committed instruction from the "
9032292SN/A            "history buffer %u (size=%i), until [sn:%lli].\n",
9042292SN/A            tid, tid, historyBuffer[tid].size(), inst_seq_num);
9052292SN/A
9062292SN/A    typename list<RenameHistory>::iterator hb_it = historyBuffer[tid].end();
9071060SN/A
9081061SN/A    --hb_it;
9091060SN/A
9102292SN/A    if (historyBuffer[tid].empty()) {
9112292SN/A        DPRINTF(Rename, "[tid:%u]: History buffer is empty.\n", tid);
9122292SN/A        return;
9132292SN/A    } else if (hb_it->instSeqNum > inst_seq_num) {
9142292SN/A        DPRINTF(Rename, "[tid:%u]: Old sequence number encountered.  Ensure "
9152292SN/A                "that a syscall happened recently.\n", tid);
9161060SN/A        return;
9171060SN/A    }
9181060SN/A
9192292SN/A    // Commit all the renames up until (and including) the committed sequence
9202292SN/A    // number. Some or even all of the committed instructions may not have
9212292SN/A    // rename histories if they did not have destination registers that were
9222292SN/A    // renamed.
9232292SN/A    while (!historyBuffer[tid].empty() &&
9242292SN/A           hb_it != historyBuffer[tid].end() &&
9252292SN/A           (*hb_it).instSeqNum <= inst_seq_num) {
9261060SN/A
9272329SN/A        DPRINTF(Rename, "[tid:%u]: Freeing up older rename of reg %i, "
9282329SN/A                "[sn:%lli].\n",
9292292SN/A                tid, (*hb_it).prevPhysReg, (*hb_it).instSeqNum);
9301061SN/A
9312292SN/A        freeList->addReg((*hb_it).prevPhysReg);
9322292SN/A        ++renameCommittedMaps;
9331061SN/A
9342292SN/A        historyBuffer[tid].erase(hb_it--);
9351060SN/A    }
9361060SN/A}
9371060SN/A
9381061SN/Atemplate <class Impl>
9391061SN/Ainline void
9402292SN/ADefaultRename<Impl>::renameSrcRegs(DynInstPtr &inst,unsigned tid)
9411061SN/A{
9422292SN/A    assert(renameMap[tid] != 0);
9432292SN/A
9441061SN/A    unsigned num_src_regs = inst->numSrcRegs();
9451061SN/A
9461061SN/A    // Get the architectual register numbers from the source and
9471061SN/A    // destination operands, and redirect them to the right register.
9481061SN/A    // Will need to mark dependencies though.
9492292SN/A    for (int src_idx = 0; src_idx < num_src_regs; src_idx++) {
9501061SN/A        RegIndex src_reg = inst->srcRegIdx(src_idx);
9511061SN/A
9521061SN/A        // Look up the source registers to get the phys. register they've
9531061SN/A        // been renamed to, and set the sources to those registers.
9542292SN/A        PhysRegIndex renamed_reg = renameMap[tid]->lookup(src_reg);
9551061SN/A
9562292SN/A        DPRINTF(Rename, "[tid:%u]: Looking up arch reg %i, got "
9572292SN/A                "physical reg %i.\n", tid, (int)src_reg,
9582292SN/A                (int)renamed_reg);
9591061SN/A
9601061SN/A        inst->renameSrcReg(src_idx, renamed_reg);
9611061SN/A
9622292SN/A        // See if the register is ready or not.
9632292SN/A        if (scoreboard->getReg(renamed_reg) == true) {
9642292SN/A            DPRINTF(Rename, "[tid:%u]: Register is ready.\n", tid);
9651061SN/A
9661061SN/A            inst->markSrcRegReady(src_idx);
9671061SN/A        }
9681062SN/A
9691062SN/A        ++renameRenameLookups;
9701061SN/A    }
9711061SN/A}
9721061SN/A
9731061SN/Atemplate <class Impl>
9741061SN/Ainline void
9752292SN/ADefaultRename<Impl>::renameDestRegs(DynInstPtr &inst,unsigned tid)
9761061SN/A{
9772292SN/A    typename RenameMap::RenameInfo rename_result;
9781061SN/A
9791061SN/A    unsigned num_dest_regs = inst->numDestRegs();
9801061SN/A
9812292SN/A    // Rename the destination registers.
9822292SN/A    for (int dest_idx = 0; dest_idx < num_dest_regs; dest_idx++) {
9832292SN/A        RegIndex dest_reg = inst->destRegIdx(dest_idx);
9841061SN/A
9852292SN/A        // Get the physical register that the destination will be
9862292SN/A        // renamed to.
9872292SN/A        rename_result = renameMap[tid]->rename(dest_reg);
9881061SN/A
9892292SN/A        //Mark Scoreboard entry as not ready
9902292SN/A        scoreboard->unsetReg(rename_result.first);
9911062SN/A
9922292SN/A        DPRINTF(Rename, "[tid:%u]: Renaming arch reg %i to physical "
9932292SN/A                "reg %i.\n", tid, (int)dest_reg,
9942292SN/A                (int)rename_result.first);
9951062SN/A
9962292SN/A        // Record the rename information so that a history can be kept.
9972292SN/A        RenameHistory hb_entry(inst->seqNum, dest_reg,
9982292SN/A                               rename_result.first,
9992292SN/A                               rename_result.second);
10001062SN/A
10012292SN/A        historyBuffer[tid].push_front(hb_entry);
10021062SN/A
10032935Sksewell@umich.edu        DPRINTF(Rename, "[tid:%u]: Adding instruction to history buffer "
10042935Sksewell@umich.edu                "(size=%i), [sn:%lli].\n",tid,
10052935Sksewell@umich.edu                historyBuffer[tid].size(),
10062292SN/A                (*historyBuffer[tid].begin()).instSeqNum);
10071062SN/A
10082292SN/A        // Tell the instruction to rename the appropriate destination
10092292SN/A        // register (dest_idx) to the new physical register
10102292SN/A        // (rename_result.first), and record the previous physical
10112292SN/A        // register that the same logical register was renamed to
10122292SN/A        // (rename_result.second).
10132292SN/A        inst->renameDestReg(dest_idx,
10142292SN/A                            rename_result.first,
10152292SN/A                            rename_result.second);
10161062SN/A
10172292SN/A        ++renameRenamedOperands;
10181061SN/A    }
10191061SN/A}
10201061SN/A
10211061SN/Atemplate <class Impl>
10221061SN/Ainline int
10232292SN/ADefaultRename<Impl>::calcFreeROBEntries(unsigned tid)
10241061SN/A{
10252292SN/A    int num_free = freeEntries[tid].robEntries -
10262292SN/A                  (instsInProgress[tid] - fromIEW->iewInfo[tid].dispatched);
10272292SN/A
10282292SN/A    //DPRINTF(Rename,"[tid:%i]: %i rob free\n",tid,num_free);
10292292SN/A
10302292SN/A    return num_free;
10311061SN/A}
10321061SN/A
10331061SN/Atemplate <class Impl>
10341061SN/Ainline int
10352292SN/ADefaultRename<Impl>::calcFreeIQEntries(unsigned tid)
10361061SN/A{
10372292SN/A    int num_free = freeEntries[tid].iqEntries -
10382292SN/A                  (instsInProgress[tid] - fromIEW->iewInfo[tid].dispatched);
10392292SN/A
10402292SN/A    //DPRINTF(Rename,"[tid:%i]: %i iq free\n",tid,num_free);
10412292SN/A
10422292SN/A    return num_free;
10432292SN/A}
10442292SN/A
10452292SN/Atemplate <class Impl>
10462292SN/Ainline int
10472292SN/ADefaultRename<Impl>::calcFreeLSQEntries(unsigned tid)
10482292SN/A{
10492292SN/A    int num_free = freeEntries[tid].lsqEntries -
10502292SN/A                  (instsInProgress[tid] - fromIEW->iewInfo[tid].dispatchedToLSQ);
10512292SN/A
10522292SN/A    //DPRINTF(Rename,"[tid:%i]: %i lsq free\n",tid,num_free);
10532292SN/A
10542292SN/A    return num_free;
10552292SN/A}
10562292SN/A
10572292SN/Atemplate <class Impl>
10582292SN/Aunsigned
10592292SN/ADefaultRename<Impl>::validInsts()
10602292SN/A{
10612292SN/A    unsigned inst_count = 0;
10622292SN/A
10632292SN/A    for (int i=0; i<fromDecode->size; i++) {
10642731Sktlim@umich.edu        if (!fromDecode->insts[i]->isSquashed())
10652292SN/A            inst_count++;
10662292SN/A    }
10672292SN/A
10682292SN/A    return inst_count;
10692292SN/A}
10702292SN/A
10712292SN/Atemplate <class Impl>
10722292SN/Avoid
10732292SN/ADefaultRename<Impl>::readStallSignals(unsigned tid)
10742292SN/A{
10752292SN/A    if (fromIEW->iewBlock[tid]) {
10762292SN/A        stalls[tid].iew = true;
10772292SN/A    }
10782292SN/A
10792292SN/A    if (fromIEW->iewUnblock[tid]) {
10802292SN/A        assert(stalls[tid].iew);
10812292SN/A        stalls[tid].iew = false;
10822292SN/A    }
10832292SN/A
10842292SN/A    if (fromCommit->commitBlock[tid]) {
10852292SN/A        stalls[tid].commit = true;
10862292SN/A    }
10872292SN/A
10882292SN/A    if (fromCommit->commitUnblock[tid]) {
10892292SN/A        assert(stalls[tid].commit);
10902292SN/A        stalls[tid].commit = false;
10912292SN/A    }
10922292SN/A}
10932292SN/A
10942292SN/Atemplate <class Impl>
10952292SN/Abool
10962292SN/ADefaultRename<Impl>::checkStall(unsigned tid)
10972292SN/A{
10982292SN/A    bool ret_val = false;
10992292SN/A
11002292SN/A    if (stalls[tid].iew) {
11012292SN/A        DPRINTF(Rename,"[tid:%i]: Stall from IEW stage detected.\n", tid);
11022292SN/A        ret_val = true;
11032292SN/A    } else if (stalls[tid].commit) {
11042292SN/A        DPRINTF(Rename,"[tid:%i]: Stall from Commit stage detected.\n", tid);
11052292SN/A        ret_val = true;
11062292SN/A    } else if (calcFreeROBEntries(tid) <= 0) {
11072292SN/A        DPRINTF(Rename,"[tid:%i]: Stall: ROB has 0 free entries.\n", tid);
11082292SN/A        ret_val = true;
11092292SN/A    } else if (calcFreeIQEntries(tid) <= 0) {
11102292SN/A        DPRINTF(Rename,"[tid:%i]: Stall: IQ has 0 free entries.\n", tid);
11112292SN/A        ret_val = true;
11122292SN/A    } else if (calcFreeLSQEntries(tid) <= 0) {
11132292SN/A        DPRINTF(Rename,"[tid:%i]: Stall: LSQ has 0 free entries.\n", tid);
11142292SN/A        ret_val = true;
11152292SN/A    } else if (renameMap[tid]->numFreeEntries() <= 0) {
11162292SN/A        DPRINTF(Rename,"[tid:%i]: Stall: RenameMap has 0 free entries.\n", tid);
11172292SN/A        ret_val = true;
11182301SN/A    } else if (renameStatus[tid] == SerializeStall &&
11192292SN/A               (!emptyROB[tid] || instsInProgress[tid])) {
11202301SN/A        DPRINTF(Rename,"[tid:%i]: Stall: Serialize stall and ROB is not "
11212292SN/A                "empty.\n",
11222292SN/A                tid);
11232292SN/A        ret_val = true;
11242292SN/A    }
11252292SN/A
11262292SN/A    return ret_val;
11272292SN/A}
11282292SN/A
11292292SN/Atemplate <class Impl>
11302292SN/Avoid
11312292SN/ADefaultRename<Impl>::readFreeEntries(unsigned tid)
11322292SN/A{
11332292SN/A    bool updated = false;
11342292SN/A    if (fromIEW->iewInfo[tid].usedIQ) {
11352292SN/A        freeEntries[tid].iqEntries =
11362292SN/A            fromIEW->iewInfo[tid].freeIQEntries;
11372292SN/A        updated = true;
11382292SN/A    }
11392292SN/A
11402292SN/A    if (fromIEW->iewInfo[tid].usedLSQ) {
11412292SN/A        freeEntries[tid].lsqEntries =
11422292SN/A            fromIEW->iewInfo[tid].freeLSQEntries;
11432292SN/A        updated = true;
11442292SN/A    }
11452292SN/A
11462292SN/A    if (fromCommit->commitInfo[tid].usedROB) {
11472292SN/A        freeEntries[tid].robEntries =
11482292SN/A            fromCommit->commitInfo[tid].freeROBEntries;
11492292SN/A        emptyROB[tid] = fromCommit->commitInfo[tid].emptyROB;
11502292SN/A        updated = true;
11512292SN/A    }
11522292SN/A
11532292SN/A    DPRINTF(Rename, "[tid:%i]: Free IQ: %i, Free ROB: %i, Free LSQ: %i\n",
11542292SN/A            tid,
11552292SN/A            freeEntries[tid].iqEntries,
11562292SN/A            freeEntries[tid].robEntries,
11572292SN/A            freeEntries[tid].lsqEntries);
11582292SN/A
11592292SN/A    DPRINTF(Rename, "[tid:%i]: %i instructions not yet in ROB\n",
11602292SN/A            tid, instsInProgress[tid]);
11612292SN/A}
11622292SN/A
11632292SN/Atemplate <class Impl>
11642292SN/Abool
11652292SN/ADefaultRename<Impl>::checkSignalsAndUpdate(unsigned tid)
11662292SN/A{
11672292SN/A    // Check if there's a squash signal, squash if there is
11682292SN/A    // Check stall signals, block if necessary.
11692292SN/A    // If status was blocked
11702292SN/A    //     check if stall conditions have passed
11712292SN/A    //         if so then go to unblocking
11722292SN/A    // If status was Squashing
11732292SN/A    //     check if squashing is not high.  Switch to running this cycle.
11742301SN/A    // If status was serialize stall
11752292SN/A    //     check if ROB is empty and no insts are in flight to the ROB
11762292SN/A
11772292SN/A    readFreeEntries(tid);
11782292SN/A    readStallSignals(tid);
11792292SN/A
11802292SN/A    if (fromCommit->commitInfo[tid].squash) {
11812292SN/A        DPRINTF(Rename, "[tid:%u]: Squashing instructions due to squash from "
11822292SN/A                "commit.\n", tid);
11832292SN/A
11842935Sksewell@umich.edu#if THE_ISA == ALPHA_ISA
11852935Sksewell@umich.edu        InstSeqNum squashed_seq_num = fromCommit->commitInfo[tid].doneSeqNum;
11862935Sksewell@umich.edu#else
11872935Sksewell@umich.edu        InstSeqNum squashed_seq_num = fromCommit->commitInfo[tid].bdelayDoneSeqNum;
11882935Sksewell@umich.edu#endif
11892935Sksewell@umich.edu
11902935Sksewell@umich.edu        squash(squashed_seq_num, tid);
11912292SN/A
11922292SN/A        return true;
11932292SN/A    }
11942292SN/A
11952292SN/A    if (fromCommit->commitInfo[tid].robSquashing) {
11962292SN/A        DPRINTF(Rename, "[tid:%u]: ROB is still squashing.\n", tid);
11972292SN/A
11982292SN/A        renameStatus[tid] = Squashing;
11992292SN/A
12002292SN/A        return true;
12012292SN/A    }
12022292SN/A
12032292SN/A    if (checkStall(tid)) {
12042292SN/A        return block(tid);
12052292SN/A    }
12062292SN/A
12072292SN/A    if (renameStatus[tid] == Blocked) {
12082292SN/A        DPRINTF(Rename, "[tid:%u]: Done blocking, switching to unblocking.\n",
12092292SN/A                tid);
12102292SN/A
12112292SN/A        renameStatus[tid] = Unblocking;
12122292SN/A
12132292SN/A        unblock(tid);
12142292SN/A
12152292SN/A        return true;
12162292SN/A    }
12172292SN/A
12182292SN/A    if (renameStatus[tid] == Squashing) {
12192292SN/A        // Switch status to running if rename isn't being told to block or
12202292SN/A        // squash this cycle.
12212292SN/A        DPRINTF(Rename, "[tid:%u]: Done squashing, switching to running.\n",
12222292SN/A                tid);
12232292SN/A
12242292SN/A        renameStatus[tid] = Running;
12252292SN/A
12262292SN/A        return false;
12272292SN/A    }
12282292SN/A
12292301SN/A    if (renameStatus[tid] == SerializeStall) {
12302292SN/A        // Stall ends once the ROB is free.
12312301SN/A        DPRINTF(Rename, "[tid:%u]: Done with serialize stall, switching to "
12322292SN/A                "unblocking.\n", tid);
12332292SN/A
12342301SN/A        DynInstPtr serial_inst = serializeInst[tid];
12352292SN/A
12362292SN/A        renameStatus[tid] = Unblocking;
12372292SN/A
12382292SN/A        unblock(tid);
12392292SN/A
12402292SN/A        DPRINTF(Rename, "[tid:%u]: Processing instruction [%lli] with "
12412292SN/A                "PC %#x.\n",
12422301SN/A                tid, serial_inst->seqNum, serial_inst->readPC());
12432292SN/A
12442292SN/A        // Put instruction into queue here.
12452301SN/A        serial_inst->clearSerializeBefore();
12462292SN/A
12472292SN/A        if (!skidBuffer[tid].empty()) {
12482301SN/A            skidBuffer[tid].push_front(serial_inst);
12492292SN/A        } else {
12502301SN/A            insts[tid].push_front(serial_inst);
12512292SN/A        }
12522292SN/A
12532292SN/A        DPRINTF(Rename, "[tid:%u]: Instruction must be processed by rename."
12542703Sktlim@umich.edu                " Adding to front of list.\n", tid);
12552292SN/A
12562301SN/A        serializeInst[tid] = NULL;
12572292SN/A
12582292SN/A        return true;
12592292SN/A    }
12602292SN/A
12612292SN/A    // If we've reached this point, we have not gotten any signals that
12622292SN/A    // cause rename to change its status.  Rename remains the same as before.
12632292SN/A    return false;
12641061SN/A}
12651061SN/A
12661060SN/Atemplate<class Impl>
12671060SN/Avoid
12682292SN/ADefaultRename<Impl>::serializeAfter(InstQueue &inst_list,
12692292SN/A                                   unsigned tid)
12701060SN/A{
12712292SN/A    if (inst_list.empty()) {
12722292SN/A        // Mark a bit to say that I must serialize on the next instruction.
12732292SN/A        serializeOnNextInst[tid] = true;
12741060SN/A        return;
12751060SN/A    }
12761060SN/A
12772292SN/A    // Set the next instruction as serializing.
12782292SN/A    inst_list.front()->setSerializeBefore();
12792292SN/A}
12802292SN/A
12812292SN/Atemplate <class Impl>
12822292SN/Ainline void
12832292SN/ADefaultRename<Impl>::incrFullStat(const FullSource &source)
12842292SN/A{
12852292SN/A    switch (source) {
12862292SN/A      case ROB:
12872292SN/A        ++renameROBFullEvents;
12882292SN/A        break;
12892292SN/A      case IQ:
12902292SN/A        ++renameIQFullEvents;
12912292SN/A        break;
12922292SN/A      case LSQ:
12932292SN/A        ++renameLSQFullEvents;
12942292SN/A        break;
12952292SN/A      default:
12962292SN/A        panic("Rename full stall stat should be incremented for a reason!");
12972292SN/A        break;
12981060SN/A    }
12992292SN/A}
13001060SN/A
13012292SN/Atemplate <class Impl>
13022292SN/Avoid
13032292SN/ADefaultRename<Impl>::dumpHistory()
13042292SN/A{
13052292SN/A    typename list<RenameHistory>::iterator buf_it;
13061060SN/A
13072292SN/A    for (int i = 0; i < numThreads; i++) {
13081060SN/A
13092292SN/A        buf_it = historyBuffer[i].begin();
13101060SN/A
13112292SN/A        while (buf_it != historyBuffer[i].end()) {
13122292SN/A            cprintf("Seq num: %i\nArch reg: %i New phys reg: %i Old phys "
13132292SN/A                    "reg: %i\n", (*buf_it).instSeqNum, (int)(*buf_it).archReg,
13142292SN/A                    (int)(*buf_it).newPhysReg, (int)(*buf_it).prevPhysReg);
13151060SN/A
13162292SN/A            buf_it++;
13171062SN/A        }
13181060SN/A    }
13191060SN/A}
1320