tlb.cc revision 7734:85a8198aa2ff
1/*
2 * Copyright (c) 2010 ARM Limited
3 * All rights reserved
4 *
5 * The license below extends only to copyright in the software and shall
6 * not be construed as granting a license to any other intellectual
7 * property including but not limited to intellectual property relating
8 * to a hardware implementation of the functionality of the software
9 * licensed hereunder.  You may use the software subject to the license
10 * terms below provided that you ensure that this notice is replicated
11 * unmodified and in its entirety in all distributions of the software,
12 * modified or unmodified, in source code or in binary form.
13 *
14 * Copyright (c) 2001-2005 The Regents of The University of Michigan
15 * All rights reserved.
16 *
17 * Redistribution and use in source and binary forms, with or without
18 * modification, are permitted provided that the following conditions are
19 * met: redistributions of source code must retain the above copyright
20 * notice, this list of conditions and the following disclaimer;
21 * redistributions in binary form must reproduce the above copyright
22 * notice, this list of conditions and the following disclaimer in the
23 * documentation and/or other materials provided with the distribution;
24 * neither the name of the copyright holders nor the names of its
25 * contributors may be used to endorse or promote products derived from
26 * this software without specific prior written permission.
27 *
28 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
29 * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
30 * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
31 * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
32 * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33 * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
34 * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
35 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
36 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
37 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
38 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39 *
40 * Authors: Ali Saidi
41 *          Nathan Binkert
42 *          Steve Reinhardt
43 */
44
45#include <string>
46#include <vector>
47
48#include "arch/arm/faults.hh"
49#include "arch/arm/pagetable.hh"
50#include "arch/arm/tlb.hh"
51#include "arch/arm/utility.hh"
52#include "base/inifile.hh"
53#include "base/str.hh"
54#include "base/trace.hh"
55#include "cpu/thread_context.hh"
56#include "mem/page_table.hh"
57#include "params/ArmTLB.hh"
58#include "sim/process.hh"
59
60#if FULL_SYSTEM
61#include "arch/arm/table_walker.hh"
62#endif
63
64using namespace std;
65using namespace ArmISA;
66
67TLB::TLB(const Params *p)
68    : BaseTLB(p), size(p->size)
69#if FULL_SYSTEM
70      , tableWalker(p->walker)
71#endif
72    , rangeMRU(1)
73{
74    table = new TlbEntry[size];
75    memset(table, 0, sizeof(TlbEntry[size]));
76
77#if FULL_SYSTEM
78    tableWalker->setTlb(this);
79#endif
80}
81
82TLB::~TLB()
83{
84    if (table)
85        delete [] table;
86}
87
88bool
89TLB::translateFunctional(ThreadContext *tc, Addr va, Addr &pa)
90{
91    uint32_t context_id = tc->readMiscReg(MISCREG_CONTEXTIDR);
92    TlbEntry *e = lookup(va, context_id, true);
93    if (!e)
94        return false;
95    pa = e->pAddr(va);
96    return true;
97}
98
99TlbEntry*
100TLB::lookup(Addr va, uint8_t cid, bool functional)
101{
102
103    TlbEntry *retval = NULL;
104
105    // Maitaining LRU array
106
107    int x = 0;
108    while (retval == NULL && x < size) {
109        if (table[x].match(va, cid)) {
110
111            // We only move the hit entry ahead when the position is higher than rangeMRU
112            if (x > rangeMRU) {
113                TlbEntry tmp_entry = table[x];
114                for(int i = x; i > 0; i--)
115                    table[i] = table[i-1];
116                table[0] = tmp_entry;
117                retval = &table[0];
118            } else {
119                retval = &table[x];
120            }
121            break;
122        }
123        x++;
124    }
125
126    DPRINTF(TLBVerbose, "Lookup %#x, cid %#x -> %s ppn %#x size: %#x pa: %#x ap:%d\n",
127            va, cid, retval ? "hit" : "miss", retval ? retval->pfn : 0,
128            retval ? retval->size : 0, retval ? retval->pAddr(va) : 0,
129            retval ? retval->ap : 0);
130    ;
131    return retval;
132}
133
134// insert a new TLB entry
135void
136TLB::insert(Addr addr, TlbEntry &entry)
137{
138    DPRINTF(TLB, "Inserting entry into TLB with pfn:%#x size:%#x vpn: %#x"
139            " asid:%d N:%d global:%d valid:%d nc:%d sNp:%d xn:%d ap:%#x"
140            " domain:%#x\n", entry.pfn, entry.size, entry.vpn, entry.asid,
141            entry.N, entry.global, entry.valid, entry.nonCacheable, entry.sNp,
142            entry.xn, entry.ap, entry.domain);
143
144    if (table[size-1].valid)
145        DPRINTF(TLB, " - Replacing Valid entry %#x, asn %d ppn %#x size: %#x ap:%d\n",
146                table[size-1].vpn << table[size-1].N, table[size-1].asid,
147                table[size-1].pfn << table[size-1].N, table[size-1].size,
148                table[size-1].ap);
149
150    //inserting to MRU position and evicting the LRU one
151
152    for(int i = size-1; i > 0; i--)
153      table[i] = table[i-1];
154    table[0] = entry;
155
156    inserts++;
157}
158
159void
160TLB::printTlb()
161{
162    int x = 0;
163    TlbEntry *te;
164    DPRINTF(TLB, "Current TLB contents:\n");
165    while (x < size) {
166       te = &table[x];
167       if (te->valid)
168           DPRINTF(TLB, " *  %#x, asn %d ppn %#x size: %#x ap:%d\n",
169                te->vpn << te->N, te->asid, te->pfn << te->N, te->size, te->ap);
170       x++;
171    }
172}
173
174
175void
176TLB::flushAll()
177{
178    DPRINTF(TLB, "Flushing all TLB entries\n");
179    int x = 0;
180    TlbEntry *te;
181    while (x < size) {
182       te = &table[x];
183       if (te->valid) {
184           DPRINTF(TLB, " -  %#x, asn %d ppn %#x size: %#x ap:%d\n",
185                te->vpn << te->N, te->asid, te->pfn << te->N, te->size, te->ap);
186           flushedEntries++;
187       }
188       x++;
189    }
190
191    memset(table, 0, sizeof(TlbEntry[size]));
192
193    flushTlb++;
194}
195
196
197void
198TLB::flushMvaAsid(Addr mva, uint64_t asn)
199{
200    DPRINTF(TLB, "Flushing mva %#x asid: %#x\n", mva, asn);
201    TlbEntry *te;
202
203    te = lookup(mva, asn);
204    while (te != NULL) {
205     DPRINTF(TLB, " -  %#x, asn %d ppn %#x size: %#x ap:%d\n",
206            te->vpn << te->N, te->asid, te->pfn << te->N, te->size, te->ap);
207        te->valid = false;
208        flushedEntries++;
209        te = lookup(mva,asn);
210    }
211    flushTlbMvaAsid++;
212}
213
214void
215TLB::flushAsid(uint64_t asn)
216{
217    DPRINTF(TLB, "Flushing all entries with asid: %#x\n", asn);
218
219    int x = 0;
220    TlbEntry *te;
221
222    while (x < size) {
223        te = &table[x];
224        if (te->asid == asn) {
225            te->valid = false;
226            DPRINTF(TLB, " -  %#x, asn %d ppn %#x size: %#x ap:%d\n",
227                te->vpn << te->N, te->asid, te->pfn << te->N, te->size, te->ap);
228            flushedEntries++;
229        }
230        x++;
231    }
232    flushTlbAsid++;
233}
234
235void
236TLB::flushMva(Addr mva)
237{
238    DPRINTF(TLB, "Flushing all entries with mva: %#x\n", mva);
239
240    int x = 0;
241    TlbEntry *te;
242
243    while (x < size) {
244        te = &table[x];
245        Addr v = te->vpn << te->N;
246        if (mva >= v && mva < v + te->size) {
247            te->valid = false;
248            DPRINTF(TLB, " -  %#x, asn %d ppn %#x size: %#x ap:%d\n",
249                te->vpn << te->N, te->asid, te->pfn << te->N, te->size, te->ap);
250            flushedEntries++;
251        }
252        x++;
253    }
254    flushTlbMva++;
255}
256
257void
258TLB::serialize(ostream &os)
259{
260    DPRINTF(Checkpoint, "Serializing Arm TLB\n");
261
262    SERIALIZE_SCALAR(_attr);
263    for(int i = 0; i < size; i++){
264        nameOut(os, csprintf("%s.TlbEntry%d", name(), i));
265        table[i].serialize(os);
266    }
267}
268
269void
270TLB::unserialize(Checkpoint *cp, const string &section)
271{
272    DPRINTF(Checkpoint, "Unserializing Arm TLB\n");
273
274    UNSERIALIZE_SCALAR(_attr);
275    for(int i = 0; i < size; i++){
276        table[i].unserialize(cp, csprintf("%s.TlbEntry%d", section, i));
277    }
278}
279
280void
281TLB::regStats()
282{
283    instHits
284        .name(name() + ".inst_hits")
285        .desc("ITB inst hits")
286        ;
287
288    instMisses
289        .name(name() + ".inst_misses")
290        .desc("ITB inst misses")
291        ;
292
293    instAccesses
294        .name(name() + ".inst_accesses")
295        .desc("ITB inst accesses")
296        ;
297
298    readHits
299        .name(name() + ".read_hits")
300        .desc("DTB read hits")
301        ;
302
303    readMisses
304        .name(name() + ".read_misses")
305        .desc("DTB read misses")
306        ;
307
308    readAccesses
309        .name(name() + ".read_accesses")
310        .desc("DTB read accesses")
311        ;
312
313    writeHits
314        .name(name() + ".write_hits")
315        .desc("DTB write hits")
316        ;
317
318    writeMisses
319        .name(name() + ".write_misses")
320        .desc("DTB write misses")
321        ;
322
323    writeAccesses
324        .name(name() + ".write_accesses")
325        .desc("DTB write accesses")
326        ;
327
328    hits
329        .name(name() + ".hits")
330        .desc("DTB hits")
331        ;
332
333    misses
334        .name(name() + ".misses")
335        .desc("DTB misses")
336        ;
337
338    accesses
339        .name(name() + ".accesses")
340        .desc("DTB accesses")
341        ;
342
343    flushTlb
344        .name(name() + ".flush_tlb")
345        .desc("Number of times complete TLB was flushed")
346        ;
347
348    flushTlbMva
349        .name(name() + ".flush_tlb_mva")
350        .desc("Number of times TLB was flushed by MVA")
351        ;
352
353    flushTlbMvaAsid
354        .name(name() + ".flush_tlb_mva_asid")
355        .desc("Number of times TLB was flushed by MVA & ASID")
356        ;
357
358    flushTlbAsid
359        .name(name() + ".flush_tlb_asid")
360        .desc("Number of times TLB was flushed by ASID")
361        ;
362
363    flushedEntries
364        .name(name() + ".flush_entries")
365        .desc("Number of entries that have been flushed from TLB")
366        ;
367
368    alignFaults
369        .name(name() + ".align_faults")
370        .desc("Number of TLB faults due to alignment restrictions")
371        ;
372
373    prefetchFaults
374        .name(name() + ".prefetch_faults")
375        .desc("Number of TLB faults due to prefetch")
376        ;
377
378    domainFaults
379        .name(name() + ".domain_faults")
380        .desc("Number of TLB faults due to domain restrictions")
381        ;
382
383    permsFaults
384        .name(name() + ".perms_faults")
385        .desc("Number of TLB faults due to permissions restrictions")
386        ;
387
388    instAccesses = instHits + instMisses;
389    readAccesses = readHits + readMisses;
390    writeAccesses = writeHits + writeMisses;
391    hits = readHits + writeHits + instHits;
392    misses = readMisses + writeMisses + instMisses;
393    accesses = readAccesses + writeAccesses + instAccesses;
394}
395
396#if !FULL_SYSTEM
397Fault
398TLB::translateSe(RequestPtr req, ThreadContext *tc, Mode mode,
399        Translation *translation, bool &delay, bool timing)
400{
401    // XXX Cache misc registers and have miscreg write function inv cache
402    Addr vaddr = req->getVaddr();
403    SCTLR sctlr = tc->readMiscReg(MISCREG_SCTLR);
404    uint32_t flags = req->getFlags();
405
406    bool is_fetch = (mode == Execute);
407    bool is_write = (mode == Write);
408
409    if (!is_fetch) {
410        assert(flags & MustBeOne);
411        if (sctlr.a || !(flags & AllowUnaligned)) {
412            if (vaddr & flags & AlignmentMask) {
413                return new DataAbort(vaddr, 0, is_write, ArmFault::AlignmentFault);
414            }
415        }
416    }
417
418    Addr paddr;
419    Process *p = tc->getProcessPtr();
420
421    if (!p->pTable->translate(vaddr, paddr))
422        return Fault(new GenericPageTableFault(vaddr));
423    req->setPaddr(paddr);
424
425    return NoFault;
426}
427
428#else // FULL_SYSTEM
429
430Fault
431TLB::trickBoxCheck(RequestPtr req, Mode mode, uint8_t domain, bool sNp)
432{
433    return NoFault;
434}
435
436Fault
437TLB::walkTrickBoxCheck(Addr pa, Addr va, Addr sz, bool is_exec,
438        bool is_write, uint8_t domain, bool sNp)
439{
440    return NoFault;
441}
442
443Fault
444TLB::translateFs(RequestPtr req, ThreadContext *tc, Mode mode,
445        Translation *translation, bool &delay, bool timing)
446{
447    // XXX Cache misc registers and have miscreg write function inv cache
448    Addr vaddr = req->getVaddr();
449    SCTLR sctlr = tc->readMiscReg(MISCREG_SCTLR);
450    CPSR cpsr = tc->readMiscReg(MISCREG_CPSR);
451    uint32_t flags = req->getFlags();
452
453    bool is_fetch = (mode == Execute);
454    bool is_write = (mode == Write);
455    bool is_priv = (cpsr.mode != MODE_USER) && !(flags & UserMode);
456
457    DPRINTF(TLBVerbose, "CPSR is user:%d UserMode:%d\n", cpsr.mode == MODE_USER, flags
458            & UserMode);
459    // If this is a clrex instruction, provide a PA of 0 with no fault
460    // This will force the monitor to set the tracked address to 0
461    // a bit of a hack but this effectively clrears this processors monitor
462    if (flags & Request::CLEAR_LL){
463       req->setPaddr(0);
464       req->setFlags(Request::UNCACHEABLE);
465       req->setFlags(Request::CLEAR_LL);
466       return NoFault;
467    }
468    if ((req->isInstFetch() && (!sctlr.i)) ||
469        ((!req->isInstFetch()) && (!sctlr.c))){
470       req->setFlags(Request::UNCACHEABLE);
471    }
472    if (!is_fetch) {
473        assert(flags & MustBeOne);
474        if (sctlr.a || !(flags & AllowUnaligned)) {
475            if (vaddr & flags & AlignmentMask) {
476                alignFaults++;
477                return new DataAbort(vaddr, 0, is_write, ArmFault::AlignmentFault);
478            }
479        }
480    }
481
482    uint32_t context_id = tc->readMiscReg(MISCREG_CONTEXTIDR);
483    Fault fault;
484
485
486    if (!sctlr.m) {
487        req->setPaddr(vaddr);
488        if (sctlr.tre == 0) {
489            req->setFlags(Request::UNCACHEABLE);
490        } else {
491            PRRR prrr = tc->readMiscReg(MISCREG_PRRR);
492            NMRR nmrr = tc->readMiscReg(MISCREG_NMRR);
493
494            if (nmrr.ir0 == 0 || nmrr.or0 == 0 || prrr.tr0 != 0x2)
495               req->setFlags(Request::UNCACHEABLE);
496        }
497
498        // Set memory attributes
499        TlbEntry temp_te;
500        tableWalker->memAttrs(tc, temp_te, sctlr, 0, 1);
501        temp_te.shareable = true;
502        DPRINTF(TLBVerbose, "(No MMU) setting memory attributes: shareable:\
503                %d, innerAttrs: %d, outerAttrs: %d\n", temp_te.shareable,
504                temp_te.innerAttrs, temp_te.outerAttrs);
505        setAttr(temp_te.attributes);
506
507        return trickBoxCheck(req, mode, 0, false);
508    }
509
510    DPRINTF(TLBVerbose, "Translating vaddr=%#x context=%d\n", vaddr, context_id);
511    // Translation enabled
512
513    TlbEntry *te = lookup(vaddr, context_id);
514    if (te == NULL) {
515        if (req->isPrefetch()){
516           //if the request is a prefetch don't attempt to fill the TLB
517           //or go any further with the memory access
518           prefetchFaults++;
519           return new PrefetchAbort(vaddr, ArmFault::PrefetchTLBMiss);
520        }
521
522        if (is_fetch)
523            instMisses++;
524        else if (is_write)
525            writeMisses++;
526        else
527            readMisses++;
528
529        // start translation table walk, pass variables rather than
530        // re-retreaving in table walker for speed
531        DPRINTF(TLB, "TLB Miss: Starting hardware table walker for %#x(%d)\n",
532                vaddr, context_id);
533        fault = tableWalker->walk(req, tc, context_id, mode, translation,
534                timing);
535        if (timing) {
536            delay = true;
537            // for timing mode, return and wait for table walk
538            return fault;
539        }
540        if (fault)
541            return fault;
542
543        te = lookup(vaddr, context_id);
544        if (!te)
545            printTlb();
546        assert(te);
547    } else {
548        if (is_fetch)
549            instHits++;
550        else if (is_write)
551            writeHits++;
552        else
553            readHits++;
554    }
555
556    // Set memory attributes
557    DPRINTF(TLBVerbose,
558            "Setting memory attributes: shareable: %d, innerAttrs: %d, \
559            outerAttrs: %d\n",
560            te->shareable, te->innerAttrs, te->outerAttrs);
561    setAttr(te->attributes);
562    if (te->nonCacheable)
563        req->setFlags(Request::UNCACHEABLE);
564    uint32_t dacr = tc->readMiscReg(MISCREG_DACR);
565    switch ( (dacr >> (te->domain * 2)) & 0x3) {
566      case 0:
567        domainFaults++;
568        DPRINTF(TLB, "TLB Fault: Data abort on domain. DACR: %#x domain: %#x"
569               " write:%d sNp:%d\n", dacr, te->domain, is_write, te->sNp);
570        if (is_fetch)
571            return new PrefetchAbort(vaddr,
572                (te->sNp ? ArmFault::Domain0 : ArmFault::Domain1));
573        else
574            return new DataAbort(vaddr, te->domain, is_write,
575                (te->sNp ? ArmFault::Domain0 : ArmFault::Domain1));
576      case 1:
577        // Continue with permissions check
578        break;
579      case 2:
580        panic("UNPRED domain\n");
581      case 3:
582        req->setPaddr(te->pAddr(vaddr));
583        fault = trickBoxCheck(req, mode, te->domain, te->sNp);
584        if (fault)
585            return fault;
586        return NoFault;
587    }
588
589    uint8_t ap = te->ap;
590
591    if (sctlr.afe == 1)
592        ap |= 1;
593
594    bool abt;
595
596   /* if (!sctlr.xp)
597        ap &= 0x3;
598*/
599    switch (ap) {
600      case 0:
601        DPRINTF(TLB, "Access permissions 0, checking rs:%#x\n", (int)sctlr.rs);
602        if (!sctlr.xp) {
603            switch ((int)sctlr.rs) {
604              case 2:
605                abt = is_write;
606                break;
607              case 1:
608                abt = is_write || !is_priv;
609                break;
610              case 0:
611              case 3:
612              default:
613                abt = true;
614                break;
615            }
616        } else {
617            abt = true;
618        }
619        break;
620      case 1:
621        abt = !is_priv;
622        break;
623      case 2:
624        abt = !is_priv && is_write;
625        break;
626      case 3:
627        abt = false;
628        break;
629      case 4:
630        panic("UNPRED premissions\n");
631      case 5:
632        abt = !is_priv || is_write;
633        break;
634      case 6:
635      case 7:
636        abt = is_write;
637        break;
638      default:
639        panic("Unknown permissions\n");
640    }
641    if ((is_fetch) && (abt || te->xn)) {
642        permsFaults++;
643        DPRINTF(TLB, "TLB Fault: Prefetch abort on permission check. AP:%d priv:%d"
644               " write:%d sNp:%d\n", ap, is_priv, is_write, te->sNp);
645        return new PrefetchAbort(vaddr,
646                (te->sNp ? ArmFault::Permission0 :
647                 ArmFault::Permission1));
648    } else if (abt) {
649        permsFaults++;
650        DPRINTF(TLB, "TLB Fault: Data abort on permission check. AP:%d priv:%d"
651               " write:%d sNp:%d\n", ap, is_priv, is_write, te->sNp);
652        return new DataAbort(vaddr, te->domain, is_write,
653                (te->sNp ? ArmFault::Permission0 :
654                 ArmFault::Permission1));
655    }
656
657    req->setPaddr(te->pAddr(vaddr));
658    // Check for a trickbox generated address fault
659    fault = trickBoxCheck(req, mode, te->domain, te->sNp);
660    if (fault)
661        return fault;
662
663    return NoFault;
664}
665
666#endif
667
668Fault
669TLB::translateAtomic(RequestPtr req, ThreadContext *tc, Mode mode)
670{
671    bool delay = false;
672    Fault fault;
673#if FULL_SYSTEM
674    fault = translateFs(req, tc, mode, NULL, delay, false);
675#else
676    fault = translateSe(req, tc, mode, NULL, delay, false);
677#endif
678    assert(!delay);
679    return fault;
680}
681
682Fault
683TLB::translateTiming(RequestPtr req, ThreadContext *tc,
684        Translation *translation, Mode mode)
685{
686    assert(translation);
687    bool delay = false;
688    Fault fault;
689#if FULL_SYSTEM
690    fault = translateFs(req, tc, mode, translation, delay, true);
691#else
692    fault = translateSe(req, tc, mode, translation, delay, true);
693#endif
694    if (!delay)
695        translation->finish(fault, req, tc, mode);
696    return fault;
697}
698
699ArmISA::TLB *
700ArmTLBParams::create()
701{
702    return new ArmISA::TLB(this);
703}
704