table_walker.cc revision 7946:7c58c106d28d
112855Sgabeblack@google.com/*
212855Sgabeblack@google.com * Copyright (c) 2010 ARM Limited
312855Sgabeblack@google.com * All rights reserved
412855Sgabeblack@google.com *
512855Sgabeblack@google.com * The license below extends only to copyright in the software and shall
612855Sgabeblack@google.com * not be construed as granting a license to any other intellectual
712855Sgabeblack@google.com * property including but not limited to intellectual property relating
812855Sgabeblack@google.com * to a hardware implementation of the functionality of the software
912855Sgabeblack@google.com * licensed hereunder.  You may use the software subject to the license
1012855Sgabeblack@google.com * terms below provided that you ensure that this notice is replicated
1112855Sgabeblack@google.com * unmodified and in its entirety in all distributions of the software,
1212855Sgabeblack@google.com * modified or unmodified, in source code or in binary form.
1312855Sgabeblack@google.com *
1412855Sgabeblack@google.com * Redistribution and use in source and binary forms, with or without
1512855Sgabeblack@google.com * modification, are permitted provided that the following conditions are
1612855Sgabeblack@google.com * met: redistributions of source code must retain the above copyright
1712855Sgabeblack@google.com * notice, this list of conditions and the following disclaimer;
1812855Sgabeblack@google.com * redistributions in binary form must reproduce the above copyright
1912855Sgabeblack@google.com * notice, this list of conditions and the following disclaimer in the
2012855Sgabeblack@google.com * documentation and/or other materials provided with the distribution;
2112855Sgabeblack@google.com * neither the name of the copyright holders nor the names of its
2212855Sgabeblack@google.com * contributors may be used to endorse or promote products derived from
2312855Sgabeblack@google.com * this software without specific prior written permission.
2412855Sgabeblack@google.com *
2512855Sgabeblack@google.com * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
2612855Sgabeblack@google.com * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
2712855Sgabeblack@google.com * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
2812855Sgabeblack@google.com * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
2912855Sgabeblack@google.com * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
3012855Sgabeblack@google.com * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
3112855Sgabeblack@google.com * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
3212855Sgabeblack@google.com * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
3312855Sgabeblack@google.com * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
3412855Sgabeblack@google.com * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
3512855Sgabeblack@google.com * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
3612855Sgabeblack@google.com *
3712855Sgabeblack@google.com * Authors: Ali Saidi
3812855Sgabeblack@google.com */
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com#include "arch/arm/faults.hh"
4112855Sgabeblack@google.com#include "arch/arm/table_walker.hh"
4212855Sgabeblack@google.com#include "arch/arm/tlb.hh"
4312855Sgabeblack@google.com#include "dev/io_device.hh"
4412855Sgabeblack@google.com#include "cpu/base.hh"
4512855Sgabeblack@google.com#include "cpu/thread_context.hh"
4612855Sgabeblack@google.com#include "sim/system.hh"
4712855Sgabeblack@google.com
4812855Sgabeblack@google.comusing namespace ArmISA;
4912855Sgabeblack@google.com
5012855Sgabeblack@google.comTableWalker::TableWalker(const Params *p)
5112855Sgabeblack@google.com    : MemObject(p), port(NULL), tlb(NULL), currState(NULL), pending(false),
52      doL1DescEvent(this), doL2DescEvent(this), doProcessEvent(this)
53{
54    sctlr = 0;
55}
56
57TableWalker::~TableWalker()
58{
59    ;
60}
61
62
63unsigned int
64TableWalker::drain(Event *de)
65{
66    if (stateQueueL1.size() || stateQueueL2.size() || pendingQueue.size())
67    {
68        changeState(Draining);
69        DPRINTF(Checkpoint, "TableWalker busy, wait to drain\n");
70        return 1;
71    }
72    else
73    {
74        changeState(Drained);
75        DPRINTF(Checkpoint, "TableWalker free, no need to drain\n");
76        return 0;
77    }
78}
79
80void
81TableWalker::resume()
82{
83    MemObject::resume();
84    if ((params()->sys->getMemoryMode() == Enums::timing) && currState) {
85            delete currState;
86            currState = NULL;
87    }
88}
89
90Port*
91TableWalker::getPort(const std::string &if_name, int idx)
92{
93    if (if_name == "port") {
94        if (port != NULL)
95            return port;
96        System *sys = params()->sys;
97        Tick minb = params()->min_backoff;
98        Tick maxb = params()->max_backoff;
99        port = new DmaPort(this, sys, minb, maxb);
100        return port;
101    }
102    return NULL;
103}
104
105Fault
106TableWalker::walk(RequestPtr _req, ThreadContext *_tc, uint8_t _cid, TLB::Mode _mode,
107            TLB::Translation *_trans, bool _timing)
108{
109    if (!currState) {
110        // For atomic mode, a new WalkerState instance should be only created
111        // once per TLB. For timing mode, a new instance is generated for every
112        // TLB miss.
113        DPRINTF(TLBVerbose, "creating new instance of WalkerState\n");
114
115        currState = new WalkerState();
116        currState->tableWalker = this;
117    }
118    else if (_timing) {
119        panic("currState should always be empty in timing mode!\n");
120    }
121
122    currState->tc = _tc;
123    currState->transState = _trans;
124    currState->req = _req;
125    currState->fault = NoFault;
126    currState->contextId = _cid;
127    currState->timing = _timing;
128    currState->mode = _mode;
129
130    /** @todo These should be cached or grabbed from cached copies in
131     the TLB, all these miscreg reads are expensive */
132    currState->vaddr = currState->req->getVaddr();
133    currState->sctlr = currState->tc->readMiscReg(MISCREG_SCTLR);
134    sctlr = currState->sctlr;
135    currState->N = currState->tc->readMiscReg(MISCREG_TTBCR);
136
137    currState->isFetch = (currState->mode == TLB::Execute);
138    currState->isWrite = (currState->mode == TLB::Write);
139
140
141    if (!currState->timing)
142        return processWalk();
143
144    if (pending) {
145        pendingQueue.push_back(currState);
146        currState = NULL;
147    } else {
148        pending = true;
149        processWalk();
150    }
151
152    return NoFault;
153}
154
155void
156TableWalker::processWalkWrapper()
157{
158    assert(!currState);
159    assert(pendingQueue.size());
160    currState = pendingQueue.front();
161    pendingQueue.pop_front();
162    pending = true;
163    processWalk();
164}
165
166Fault
167TableWalker::processWalk()
168{
169    Addr ttbr = 0;
170
171    // If translation isn't enabled, we shouldn't be here
172    assert(currState->sctlr.m);
173
174    DPRINTF(TLB, "Begining table walk for address %#x, TTBCR: %#x, bits:%#x\n",
175            currState->vaddr, currState->N, mbits(currState->vaddr, 31,
176            32-currState->N));
177
178    if (currState->N == 0 || !mbits(currState->vaddr, 31, 32-currState->N)) {
179        DPRINTF(TLB, " - Selecting TTBR0\n");
180        ttbr = currState->tc->readMiscReg(MISCREG_TTBR0);
181    } else {
182        DPRINTF(TLB, " - Selecting TTBR1\n");
183        ttbr = currState->tc->readMiscReg(MISCREG_TTBR1);
184        currState->N = 0;
185    }
186
187    Addr l1desc_addr = mbits(ttbr, 31, 14-currState->N) |
188                       (bits(currState->vaddr,31-currState->N,20) << 2);
189    DPRINTF(TLB, " - Descriptor at address %#x\n", l1desc_addr);
190
191
192    // Trickbox address check
193    Fault f;
194    f = tlb->walkTrickBoxCheck(l1desc_addr, currState->vaddr, sizeof(uint32_t),
195            currState->isFetch, currState->isWrite, 0, true);
196    if (f) {
197        if (currState->timing) {
198            currState->transState->finish(f, currState->req,
199                                          currState->tc, currState->mode);
200
201            pending = false;
202            nextWalk(currState->tc);
203            currState = NULL;
204        } else {
205            currState->tc = NULL;
206            currState->req = NULL;
207        }
208        return f;
209    }
210
211    Request::Flags flag = 0;
212    if (currState->sctlr.c == 0) {
213        flag = Request::UNCACHEABLE;
214    }
215
216    if (currState->timing) {
217        port->dmaAction(MemCmd::ReadReq, l1desc_addr, sizeof(uint32_t),
218                &doL1DescEvent, (uint8_t*)&currState->l1Desc.data,
219                currState->tc->getCpuPtr()->ticks(1), flag);
220        DPRINTF(TLBVerbose, "Adding to walker fifo: queue size before adding: %d\n",
221                stateQueueL1.size());
222        stateQueueL1.push_back(currState);
223        currState = NULL;
224    } else {
225        port->dmaAction(MemCmd::ReadReq, l1desc_addr, sizeof(uint32_t),
226                NULL, (uint8_t*)&currState->l1Desc.data,
227                currState->tc->getCpuPtr()->ticks(1), flag);
228        doL1Descriptor();
229        f = currState->fault;
230    }
231
232    return f;
233}
234
235void
236TableWalker::memAttrs(ThreadContext *tc, TlbEntry &te, SCTLR sctlr,
237                      uint8_t texcb, bool s)
238{
239    // Note: tc and sctlr local variables are hiding tc and sctrl class
240    // variables
241    DPRINTF(TLBVerbose, "memAttrs texcb:%d s:%d\n", texcb, s);
242    te.shareable = false; // default value
243    te.nonCacheable = false;
244    bool outer_shareable = false;
245    if (sctlr.tre == 0 || ((sctlr.tre == 1) && (sctlr.m == 0))) {
246        switch(texcb) {
247          case 0: // Stongly-ordered
248            te.nonCacheable = true;
249            te.mtype = TlbEntry::StronglyOrdered;
250            te.shareable = true;
251            te.innerAttrs = 1;
252            te.outerAttrs = 0;
253            break;
254          case 1: // Shareable Device
255            te.nonCacheable = true;
256            te.mtype = TlbEntry::Device;
257            te.shareable = true;
258            te.innerAttrs = 3;
259            te.outerAttrs = 0;
260            break;
261          case 2: // Outer and Inner Write-Through, no Write-Allocate
262            te.mtype = TlbEntry::Normal;
263            te.shareable = s;
264            te.innerAttrs = 6;
265            te.outerAttrs = bits(texcb, 1, 0);
266            break;
267          case 3: // Outer and Inner Write-Back, no Write-Allocate
268            te.mtype = TlbEntry::Normal;
269            te.shareable = s;
270            te.innerAttrs = 7;
271            te.outerAttrs = bits(texcb, 1, 0);
272            break;
273          case 4: // Outer and Inner Non-cacheable
274            te.nonCacheable = true;
275            te.mtype = TlbEntry::Normal;
276            te.shareable = s;
277            te.innerAttrs = 0;
278            te.outerAttrs = bits(texcb, 1, 0);
279            break;
280          case 5: // Reserved
281            panic("Reserved texcb value!\n");
282            break;
283          case 6: // Implementation Defined
284            panic("Implementation-defined texcb value!\n");
285            break;
286          case 7: // Outer and Inner Write-Back, Write-Allocate
287            te.mtype = TlbEntry::Normal;
288            te.shareable = s;
289            te.innerAttrs = 5;
290            te.outerAttrs = 1;
291            break;
292          case 8: // Non-shareable Device
293            te.nonCacheable = true;
294            te.mtype = TlbEntry::Device;
295            te.shareable = false;
296            te.innerAttrs = 3;
297            te.outerAttrs = 0;
298            break;
299          case 9 ... 15:  // Reserved
300            panic("Reserved texcb value!\n");
301            break;
302          case 16 ... 31: // Cacheable Memory
303            te.mtype = TlbEntry::Normal;
304            te.shareable = s;
305            if (bits(texcb, 1,0) == 0 || bits(texcb, 3,2) == 0)
306                te.nonCacheable = true;
307            te.innerAttrs = bits(texcb, 1, 0);
308            te.outerAttrs = bits(texcb, 3, 2);
309            break;
310          default:
311            panic("More than 32 states for 5 bits?\n");
312        }
313    } else {
314        assert(tc);
315        PRRR prrr = tc->readMiscReg(MISCREG_PRRR);
316        NMRR nmrr = tc->readMiscReg(MISCREG_NMRR);
317        DPRINTF(TLBVerbose, "memAttrs PRRR:%08x NMRR:%08x\n", prrr, nmrr);
318        uint8_t curr_tr = 0, curr_ir = 0, curr_or = 0;
319        switch(bits(texcb, 2,0)) {
320          case 0:
321            curr_tr = prrr.tr0;
322            curr_ir = nmrr.ir0;
323            curr_or = nmrr.or0;
324            outer_shareable = (prrr.nos0 == 0);
325            break;
326          case 1:
327            curr_tr = prrr.tr1;
328            curr_ir = nmrr.ir1;
329            curr_or = nmrr.or1;
330            outer_shareable = (prrr.nos1 == 0);
331            break;
332          case 2:
333            curr_tr = prrr.tr2;
334            curr_ir = nmrr.ir2;
335            curr_or = nmrr.or2;
336            outer_shareable = (prrr.nos2 == 0);
337            break;
338          case 3:
339            curr_tr = prrr.tr3;
340            curr_ir = nmrr.ir3;
341            curr_or = nmrr.or3;
342            outer_shareable = (prrr.nos3 == 0);
343            break;
344          case 4:
345            curr_tr = prrr.tr4;
346            curr_ir = nmrr.ir4;
347            curr_or = nmrr.or4;
348            outer_shareable = (prrr.nos4 == 0);
349            break;
350          case 5:
351            curr_tr = prrr.tr5;
352            curr_ir = nmrr.ir5;
353            curr_or = nmrr.or5;
354            outer_shareable = (prrr.nos5 == 0);
355            break;
356          case 6:
357            panic("Imp defined type\n");
358          case 7:
359            curr_tr = prrr.tr7;
360            curr_ir = nmrr.ir7;
361            curr_or = nmrr.or7;
362            outer_shareable = (prrr.nos7 == 0);
363            break;
364        }
365
366        switch(curr_tr) {
367          case 0:
368            DPRINTF(TLBVerbose, "StronglyOrdered\n");
369            te.mtype = TlbEntry::StronglyOrdered;
370            te.nonCacheable = true;
371            te.innerAttrs = 1;
372            te.outerAttrs = 0;
373            te.shareable = true;
374            break;
375          case 1:
376            DPRINTF(TLBVerbose, "Device ds1:%d ds0:%d s:%d\n",
377                    prrr.ds1, prrr.ds0, s);
378            te.mtype = TlbEntry::Device;
379            te.nonCacheable = true;
380            te.innerAttrs = 3;
381            te.outerAttrs = 0;
382            if (prrr.ds1 && s)
383                te.shareable = true;
384            if (prrr.ds0 && !s)
385                te.shareable = true;
386            break;
387          case 2:
388            DPRINTF(TLBVerbose, "Normal ns1:%d ns0:%d s:%d\n",
389                    prrr.ns1, prrr.ns0, s);
390            te.mtype = TlbEntry::Normal;
391            if (prrr.ns1 && s)
392                te.shareable = true;
393            if (prrr.ns0 && !s)
394                te.shareable = true;
395            break;
396          case 3:
397            panic("Reserved type");
398        }
399
400        if (te.mtype == TlbEntry::Normal){
401            switch(curr_ir) {
402              case 0:
403                te.nonCacheable = true;
404                te.innerAttrs = 0;
405                break;
406              case 1:
407                te.innerAttrs = 5;
408                break;
409              case 2:
410                te.innerAttrs = 6;
411                break;
412              case 3:
413                te.innerAttrs = 7;
414                break;
415            }
416
417            switch(curr_or) {
418              case 0:
419                te.nonCacheable = true;
420                te.outerAttrs = 0;
421                break;
422              case 1:
423                te.outerAttrs = 1;
424                break;
425              case 2:
426                te.outerAttrs = 2;
427                break;
428              case 3:
429                te.outerAttrs = 3;
430                break;
431            }
432        }
433    }
434    DPRINTF(TLBVerbose, "memAttrs: shareable: %d, innerAttrs: %d, \
435            outerAttrs: %d\n",
436            te.shareable, te.innerAttrs, te.outerAttrs);
437
438    /** Formatting for Physical Address Register (PAR)
439     *  Only including lower bits (TLB info here)
440     *  PAR:
441     *  PA [31:12]
442     *  Reserved [11]
443     *  TLB info [10:1]
444     *      NOS  [10] (Not Outer Sharable)
445     *      NS   [9]  (Non-Secure)
446     *      --   [8]  (Implementation Defined)
447     *      SH   [7]  (Sharable)
448     *      Inner[6:4](Inner memory attributes)
449     *      Outer[3:2](Outer memory attributes)
450     *      SS   [1]  (SuperSection)
451     *      F    [0]  (Fault, Fault Status in [6:1] if faulted)
452     */
453    te.attributes = (
454                ((outer_shareable ? 0:1) << 10) |
455                // TODO: NS Bit
456                ((te.shareable ? 1:0) << 7) |
457                (te.innerAttrs << 4) |
458                (te.outerAttrs << 2)
459                // TODO: Supersection bit
460                // TODO: Fault bit
461                );
462
463
464}
465
466void
467TableWalker::doL1Descriptor()
468{
469    DPRINTF(TLB, "L1 descriptor for %#x is %#x\n",
470            currState->vaddr, currState->l1Desc.data);
471    TlbEntry te;
472
473    switch (currState->l1Desc.type()) {
474      case L1Descriptor::Ignore:
475      case L1Descriptor::Reserved:
476        if (!currState->timing) {
477            currState->tc = NULL;
478            currState->req = NULL;
479        }
480        DPRINTF(TLB, "L1 Descriptor Reserved/Ignore, causing fault\n");
481        if (currState->isFetch)
482            currState->fault =
483                new PrefetchAbort(currState->vaddr, ArmFault::Translation0);
484        else
485            currState->fault =
486                new DataAbort(currState->vaddr, 0, currState->isWrite,
487                                  ArmFault::Translation0);
488        return;
489      case L1Descriptor::Section:
490        if (currState->sctlr.afe && bits(currState->l1Desc.ap(), 0) == 0) {
491            /** @todo: check sctlr.ha (bit[17]) if Hardware Access Flag is
492              * enabled if set, do l1.Desc.setAp0() instead of generating
493              * AccessFlag0
494              */
495
496            currState->fault = new DataAbort(currState->vaddr,
497                                    currState->l1Desc.domain(), currState->isWrite,
498                                    ArmFault::AccessFlag0);
499        }
500        if (currState->l1Desc.supersection()) {
501            panic("Haven't implemented supersections\n");
502        }
503        te.N = 20;
504        te.pfn = currState->l1Desc.pfn();
505        te.size = (1<<te.N) - 1;
506        te.global = !currState->l1Desc.global();
507        te.valid = true;
508        te.vpn = currState->vaddr >> te.N;
509        te.sNp = true;
510        te.xn = currState->l1Desc.xn();
511        te.ap = currState->l1Desc.ap();
512        te.domain = currState->l1Desc.domain();
513        te.asid = currState->contextId;
514        memAttrs(currState->tc, te, currState->sctlr,
515                currState->l1Desc.texcb(), currState->l1Desc.shareable());
516
517        DPRINTF(TLB, "Inserting Section Descriptor into TLB\n");
518        DPRINTF(TLB, " - N:%d pfn:%#x size: %#x global:%d valid: %d\n",
519                te.N, te.pfn, te.size, te.global, te.valid);
520        DPRINTF(TLB, " - vpn:%#x sNp: %d xn:%d ap:%d domain: %d asid:%d nc:%d\n",
521                te.vpn, te.sNp, te.xn, te.ap, te.domain, te.asid,
522                te.nonCacheable);
523        DPRINTF(TLB, " - domain from l1 desc: %d data: %#x bits:%d\n",
524                currState->l1Desc.domain(), currState->l1Desc.data,
525                (currState->l1Desc.data >> 5) & 0xF );
526
527        if (!currState->timing) {
528            currState->tc = NULL;
529            currState->req = NULL;
530        }
531        tlb->insert(currState->vaddr, te);
532
533        return;
534      case L1Descriptor::PageTable:
535        Addr l2desc_addr;
536        l2desc_addr = currState->l1Desc.l2Addr() |
537                      (bits(currState->vaddr, 19,12) << 2);
538        DPRINTF(TLB, "L1 descriptor points to page table at: %#x\n",
539                l2desc_addr);
540
541        // Trickbox address check
542        currState->fault = tlb->walkTrickBoxCheck(l2desc_addr, currState->vaddr,
543                sizeof(uint32_t), currState->isFetch, currState->isWrite,
544                currState->l1Desc.domain(), false);
545
546        if (currState->fault) {
547            if (!currState->timing) {
548                currState->tc = NULL;
549                currState->req = NULL;
550            }
551            return;
552        }
553
554
555        if (currState->timing) {
556            currState->delayed = true;
557            port->dmaAction(MemCmd::ReadReq, l2desc_addr, sizeof(uint32_t),
558                    &doL2DescEvent, (uint8_t*)&currState->l2Desc.data,
559                    currState->tc->getCpuPtr()->ticks(1));
560        } else {
561            port->dmaAction(MemCmd::ReadReq, l2desc_addr, sizeof(uint32_t),
562                    NULL, (uint8_t*)&currState->l2Desc.data,
563                    currState->tc->getCpuPtr()->ticks(1));
564            doL2Descriptor();
565        }
566        return;
567      default:
568        panic("A new type in a 2 bit field?\n");
569    }
570}
571
572void
573TableWalker::doL2Descriptor()
574{
575    DPRINTF(TLB, "L2 descriptor for %#x is %#x\n",
576            currState->vaddr, currState->l2Desc.data);
577    TlbEntry te;
578
579    if (currState->l2Desc.invalid()) {
580        DPRINTF(TLB, "L2 descriptor invalid, causing fault\n");
581        if (!currState->timing) {
582            currState->tc = NULL;
583            currState->req = NULL;
584        }
585        if (currState->isFetch)
586            currState->fault =
587                new PrefetchAbort(currState->vaddr, ArmFault::Translation1);
588        else
589            currState->fault =
590                new DataAbort(currState->vaddr, currState->l1Desc.domain(),
591                              currState->isWrite, ArmFault::Translation1);
592        return;
593    }
594
595    if (currState->sctlr.afe && bits(currState->l2Desc.ap(), 0) == 0) {
596        /** @todo: check sctlr.ha (bit[17]) if Hardware Access Flag is enabled
597          * if set, do l2.Desc.setAp0() instead of generating AccessFlag0
598          */
599
600        currState->fault =
601            new DataAbort(currState->vaddr, 0, currState->isWrite,
602                          ArmFault::AccessFlag1);
603
604    }
605
606    if (currState->l2Desc.large()) {
607      te.N = 16;
608      te.pfn = currState->l2Desc.pfn();
609    } else {
610      te.N = 12;
611      te.pfn = currState->l2Desc.pfn();
612    }
613
614    te.valid = true;
615    te.size =  (1 << te.N) - 1;
616    te.asid = currState->contextId;
617    te.sNp = false;
618    te.vpn = currState->vaddr >> te.N;
619    te.global = currState->l2Desc.global();
620    te.xn = currState->l2Desc.xn();
621    te.ap = currState->l2Desc.ap();
622    te.domain = currState->l1Desc.domain();
623    memAttrs(currState->tc, te, currState->sctlr, currState->l2Desc.texcb(),
624             currState->l2Desc.shareable());
625
626    if (!currState->timing) {
627        currState->tc = NULL;
628        currState->req = NULL;
629    }
630    tlb->insert(currState->vaddr, te);
631}
632
633void
634TableWalker::doL1DescriptorWrapper()
635{
636    currState = stateQueueL1.front();
637    currState->delayed = false;
638
639    DPRINTF(TLBVerbose, "L1 Desc object host addr: %p\n",&currState->l1Desc.data);
640    DPRINTF(TLBVerbose, "L1 Desc object      data: %08x\n",currState->l1Desc.data);
641
642    DPRINTF(TLBVerbose, "calling doL1Descriptor for vaddr:%#x\n", currState->vaddr);
643    doL1Descriptor();
644
645    stateQueueL1.pop_front();
646    // Check if fault was generated
647    if (currState->fault != NoFault) {
648        currState->transState->finish(currState->fault, currState->req,
649                                      currState->tc, currState->mode);
650
651        pending = false;
652        nextWalk(currState->tc);
653
654        currState->req = NULL;
655        currState->tc = NULL;
656        currState->delayed = false;
657
658    }
659    else if (!currState->delayed) {
660        // delay is not set so there is no L2 to do
661        DPRINTF(TLBVerbose, "calling translateTiming again\n");
662        currState->fault = tlb->translateTiming(currState->req, currState->tc,
663                                       currState->transState, currState->mode);
664
665        pending = false;
666        nextWalk(currState->tc);
667
668        currState->req = NULL;
669        currState->tc = NULL;
670        currState->delayed = false;
671        delete currState;
672    } else {
673        // need to do L2 descriptor
674        stateQueueL2.push_back(currState);
675    }
676    currState = NULL;
677}
678
679void
680TableWalker::doL2DescriptorWrapper()
681{
682    currState = stateQueueL2.front();
683    assert(currState->delayed);
684
685    DPRINTF(TLBVerbose, "calling doL2Descriptor for vaddr:%#x\n",
686            currState->vaddr);
687    doL2Descriptor();
688
689    // Check if fault was generated
690    if (currState->fault != NoFault) {
691        currState->transState->finish(currState->fault, currState->req,
692                                      currState->tc, currState->mode);
693    }
694    else {
695        DPRINTF(TLBVerbose, "calling translateTiming again\n");
696        currState->fault = tlb->translateTiming(currState->req, currState->tc,
697                                      currState->transState, currState->mode);
698    }
699
700
701    stateQueueL2.pop_front();
702    pending = false;
703    nextWalk(currState->tc);
704
705    currState->req = NULL;
706    currState->tc = NULL;
707    currState->delayed = false;
708
709    delete currState;
710    currState = NULL;
711}
712
713void
714TableWalker::nextWalk(ThreadContext *tc)
715{
716    if (pendingQueue.size())
717        schedule(doProcessEvent, tc->getCpuPtr()->nextCycle(curTick()+1));
718}
719
720
721
722ArmISA::TableWalker *
723ArmTableWalkerParams::create()
724{
725    return new ArmISA::TableWalker(this);
726}
727
728