112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  main.cpp -- Main function for the dashboard controller for a
2312855Sgabeblack@google.com           car. This controller contains a speedometer, two odometers (total
2412855Sgabeblack@google.com           and partial distance), the driver of the car, clocks, and the
2512855Sgabeblack@google.com           pulse generator. The pulses are generated by the sensors placed
2612855Sgabeblack@google.com           around one of the wheel shafts. The rate of pulse generation is
2712855Sgabeblack@google.com           determined by the speed of the car. The driver can start the car,
2812855Sgabeblack@google.com           set its speed, reset the partial distance odometer, and stop the
2912855Sgabeblack@google.com           car (which means he will stop the simulation). One of the clocks
3012855Sgabeblack@google.com           is slow and the other is fast. The fast clock represents the real
3112855Sgabeblack@google.com           time. The slow clock is used to control the actions of the
3212855Sgabeblack@google.com           driver. The signals in this program are traced.
3312855Sgabeblack@google.com
3412855Sgabeblack@google.com           dash8's purpose (in terms of changes to dash7's purpose) --
3512855Sgabeblack@google.com           Positional connection method for binding the ports of modules.
3612855Sgabeblack@google.com           Example: Let m be a module with ports p1 and p2. Let m_ptr be a
3712855Sgabeblack@google.com           pointer to m, and let p1_arg and p2_arg be the ports that we want
3812855Sgabeblack@google.com           to bind to p1 and p2 of m. Then, we can do the binding in one of
3912855Sgabeblack@google.com           the following:
4012855Sgabeblack@google.com
4112855Sgabeblack@google.com           m(p1_arg, p2_arg);  or (*m_ptr)(p1_arg, p2_arg);
4212855Sgabeblack@google.com
4312855Sgabeblack@google.com           m.p1(p1_arg); m.p2.bind(p2_arg); or
4412855Sgabeblack@google.com           m_ptr->p1(p1_arg); m->p2.bind(p2_arg);
4512855Sgabeblack@google.com
4612855Sgabeblack@google.com           The output of this program is identical to that of dash[6-8].
4712855Sgabeblack@google.com
4812855Sgabeblack@google.com           purpose (in terms of changes to dash8's purpose) -- the use of
4912855Sgabeblack@google.com           module and process declaration macros, defined in systemc.h.
5012855Sgabeblack@google.com
5112855Sgabeblack@google.com  Original Author: Ali Dasdan, Synopsys, Inc.
5212855Sgabeblack@google.com
5312855Sgabeblack@google.com *****************************************************************************/
5412855Sgabeblack@google.com
5512855Sgabeblack@google.com/*****************************************************************************
5612855Sgabeblack@google.com
5712855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
5812855Sgabeblack@google.com  changes you are making here.
5912855Sgabeblack@google.com
6012855Sgabeblack@google.com      Name, Affiliation, Date:
6112855Sgabeblack@google.com  Description of Modification:
6212855Sgabeblack@google.com
6312855Sgabeblack@google.com *****************************************************************************/
6412855Sgabeblack@google.com
6512855Sgabeblack@google.com// $Log: main.cpp,v $
6612855Sgabeblack@google.com// Revision 1.2  2011/01/07 01:20:20  acg
6712855Sgabeblack@google.com//  Andy Goodrich: update for new IEEE 1666.
6812855Sgabeblack@google.com//
6912855Sgabeblack@google.com// Revision 1.1.1.1  2006/12/15 20:26:24  acg
7012855Sgabeblack@google.com// systemc_tests-2.3
7112855Sgabeblack@google.com//
7212855Sgabeblack@google.com// Revision 1.5  2006/01/24 21:05:59  acg
7312855Sgabeblack@google.com//  Andy Goodrich: replacement of deprecated features with their non-deprecated
7412855Sgabeblack@google.com//  counterparts.
7512855Sgabeblack@google.com//
7612855Sgabeblack@google.com// Revision 1.4  2006/01/20 00:43:24  acg
7712855Sgabeblack@google.com// Andy Goodrich: Changed over to use putenv() instead of setenv() to accommodate old versions of Solaris.
7812855Sgabeblack@google.com//
7912855Sgabeblack@google.com// Revision 1.3  2006/01/19 00:48:20  acg
8012855Sgabeblack@google.com// Andy Goodrich: Changes for the fact signal write checking is enabled.
8112855Sgabeblack@google.com//
8212855Sgabeblack@google.com// Revision 1.2  2006/01/18 00:23:51  acg
8312855Sgabeblack@google.com// Change over from SC_NO_WRITE_CHECK to sc_write_check_enable() call.
8412855Sgabeblack@google.com//
8512855Sgabeblack@google.com
8612855Sgabeblack@google.com#define SC_NO_WRITE_CHECK
8712855Sgabeblack@google.com#include "systemc.h"
8812855Sgabeblack@google.com#include "const.h"
8912855Sgabeblack@google.com#include "driver.h"
9012855Sgabeblack@google.com#include "pulse.h"
9112855Sgabeblack@google.com#include "speed.h"
9212855Sgabeblack@google.com#include "dist.h"
9312855Sgabeblack@google.com
9412855Sgabeblack@google.comint
9512855Sgabeblack@google.comsc_main(int argc, char *argv[])
9612855Sgabeblack@google.com{
9712855Sgabeblack@google.com  // Pulses for the speedometer and odometers, generated by the pulse
9812855Sgabeblack@google.com  // generator.
9912855Sgabeblack@google.com  sc_signal<bool> speed_pulses("speed_pulses");
10012855Sgabeblack@google.com  sc_signal<bool> dist_pulses("dist_pulses");
10112855Sgabeblack@google.com  // Signals for the driver's actions.
10212855Sgabeblack@google.com  sc_signal<bool> reset("reset");
10312855Sgabeblack@google.com  sc_signal<int>  speed("speed");
10412855Sgabeblack@google.com  sc_signal<bool> start("start");
10512855Sgabeblack@google.com
10612855Sgabeblack@google.com  // Signals observed by the driver.
10712855Sgabeblack@google.com  sc_signal<double> disp_speed("disp_speed");
10812855Sgabeblack@google.com  sc_signal<double> disp_angle("disp_angle");
10912855Sgabeblack@google.com  sc_signal<double> disp_total_dist("disp_total_dist");
11012855Sgabeblack@google.com  sc_signal<double> disp_partial_dist("disp_partial_dist");
11112855Sgabeblack@google.com
11212855Sgabeblack@google.com  // Clocks.
11312855Sgabeblack@google.com  sc_clock clk0("slow_clk", SLOW_CLOCK_PERIOD0, SC_NS, 0.5, 0.0, SC_NS, true);
11412855Sgabeblack@google.com  sc_clock clk1("fast_clk", FAST_CLOCK_PERIOD1, SC_NS, 0.5, 0.0, SC_NS, false);
11512855Sgabeblack@google.com
11612855Sgabeblack@google.com  driver_mod driver("driver");
11712855Sgabeblack@google.com  driver(clk0, disp_speed, disp_angle, disp_total_dist,
11812855Sgabeblack@google.com         disp_partial_dist, reset, speed, start);
11912855Sgabeblack@google.com
12012855Sgabeblack@google.com  gen_pulse_mod gen_pulse("gen_pulse");
12112855Sgabeblack@google.com  gen_pulse(clk1, start, speed, speed_pulses, dist_pulses);
12212855Sgabeblack@google.com
12312855Sgabeblack@google.com  speed_mod speedometer("speedometer");
12412855Sgabeblack@google.com  speedometer(clk1, start, speed_pulses, disp_speed, disp_angle);
12512855Sgabeblack@google.com
12612855Sgabeblack@google.com  dist_mod odometers("odometers");
12712855Sgabeblack@google.com  odometers.pulse(dist_pulses);
12812855Sgabeblack@google.com  odometers.reset(reset);
12912855Sgabeblack@google.com  odometers.start.bind(start);
13012855Sgabeblack@google.com  odometers.total(disp_total_dist);
13112855Sgabeblack@google.com  odometers.partial.bind(disp_partial_dist);
13212855Sgabeblack@google.com
13312855Sgabeblack@google.com  // Initialize signals:
13412855Sgabeblack@google.com  start = false;
13512855Sgabeblack@google.com
13612855Sgabeblack@google.com  // Tracing:
13712855Sgabeblack@google.com  // Trace file creation.
13812855Sgabeblack@google.com  sc_trace_file *tf = sc_create_vcd_trace_file("dash");
13912855Sgabeblack@google.com  // External signals.
14012855Sgabeblack@google.com  sc_trace(tf, clk0, "slow_clk");
14112855Sgabeblack@google.com  sc_trace(tf, clk1, "fast_clk");
14212855Sgabeblack@google.com  sc_trace(tf, speed_pulses, "speed_pulses");
14312855Sgabeblack@google.com  sc_trace(tf, dist_pulses, "dist_pulses");
14412855Sgabeblack@google.com  sc_trace(tf, reset, "reset");
14512855Sgabeblack@google.com  sc_trace(tf, start, "start");
14612855Sgabeblack@google.com  sc_trace(tf, speed, "speed");
14712855Sgabeblack@google.com  sc_trace(tf, disp_speed, "disp_speed");
14812855Sgabeblack@google.com  sc_trace(tf, disp_angle, "disp_angle");
14912855Sgabeblack@google.com  sc_trace(tf, disp_total_dist, "disp_total_dist");
15012855Sgabeblack@google.com  sc_trace(tf, disp_partial_dist, "disp_partial_dist");
15112855Sgabeblack@google.com  // Internal signals.
15212855Sgabeblack@google.com  sc_trace(tf, speedometer.elapsed_time, "elapsed_time");
15312855Sgabeblack@google.com  sc_trace(tf, speedometer.read_mod->raw_speed, "raw_speed");
15412855Sgabeblack@google.com  sc_trace(tf, speedometer.filtered_speed, "filtered_speed");
15512855Sgabeblack@google.com  sc_trace(tf, odometers.ok_for_incr, "ok_for_incr");
15612855Sgabeblack@google.com  sc_trace(tf, odometers.total_dist, "total_dist");
15712855Sgabeblack@google.com  sc_trace(tf, odometers.partial_dist, "partial_dist");
15812855Sgabeblack@google.com
15912855Sgabeblack@google.com  disp_speed = 0.0;
16012855Sgabeblack@google.com  disp_angle = 0.0;
16112855Sgabeblack@google.com  disp_total_dist = 0.0;
16212855Sgabeblack@google.com  disp_partial_dist = 0.0;
16312855Sgabeblack@google.com
16412855Sgabeblack@google.com  sc_start();
16512855Sgabeblack@google.com
16612855Sgabeblack@google.com  return 0;
16712855Sgabeblack@google.com}
16812855Sgabeblack@google.com
16912855Sgabeblack@google.com// End of file
170