112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com/*
3912855Sgabeblack@google.comHello,
4012855Sgabeblack@google.com
4112855Sgabeblack@google.comsorry for asking again about the sc_start/sc_cycle problem but...
4212855Sgabeblack@google.com
4312855Sgabeblack@google.comThe following program causes trouble (SystemC V2.0b2):
4412855Sgabeblack@google.com*/
4512855Sgabeblack@google.com
4612855Sgabeblack@google.com#include "systemc.h"
4712855Sgabeblack@google.com
4812855Sgabeblack@google.comSC_MODULE(createpulse)
4912855Sgabeblack@google.com {
5012855Sgabeblack@google.com  public:
5112855Sgabeblack@google.com  sc_in_clk i_clk;
5212855Sgabeblack@google.com  private:
5312855Sgabeblack@google.com  int trigger1,trigger2;
5412855Sgabeblack@google.com  void pulse()
5512855Sgabeblack@google.com   {
5612855Sgabeblack@google.com    while(true)
5712855Sgabeblack@google.com     {
5812855Sgabeblack@google.com      wait();
5912855Sgabeblack@google.com      cout << sc_time_stamp() << ": trigger1 : " <<trigger1++ << endl;
6012855Sgabeblack@google.com      wait(1,SC_NS);
6112855Sgabeblack@google.com      cout << sc_time_stamp() << ": trigger2 : " <<trigger2++ << endl;
6212855Sgabeblack@google.com     }
6312855Sgabeblack@google.com   }
6412855Sgabeblack@google.com  public:
6512855Sgabeblack@google.com  SC_CTOR(createpulse)
6612855Sgabeblack@google.com   {
6712855Sgabeblack@google.com    SC_THREAD(pulse);
6812855Sgabeblack@google.com    sensitive << i_clk.pos();
6912855Sgabeblack@google.com    trigger1 = 0;
7012855Sgabeblack@google.com    trigger2 = 0;
7112855Sgabeblack@google.com   }
7212855Sgabeblack@google.com };
7312855Sgabeblack@google.com
7412855Sgabeblack@google.com// createpulse dut("testpulse");
7512855Sgabeblack@google.com
7612855Sgabeblack@google.comint sc_main(int argc, char *argv[])
7712855Sgabeblack@google.com {
7812855Sgabeblack@google.com  int i;
7912855Sgabeblack@google.com  sc_trace_file *tf;
8012855Sgabeblack@google.com  sc_signal<bool> clk1;
8112855Sgabeblack@google.com
8212855Sgabeblack@google.com  sc_set_time_resolution(1,SC_NS);
8312855Sgabeblack@google.com  sc_set_default_time_unit(1,SC_NS);
8412855Sgabeblack@google.com
8512855Sgabeblack@google.com  // sc_clock dummy( "dummy", 2, SC_NS );
8612855Sgabeblack@google.com
8712855Sgabeblack@google.com  createpulse dut("testpulse");
8812855Sgabeblack@google.com
8912855Sgabeblack@google.com  dut.i_clk(clk1);      // see other posting
9012855Sgabeblack@google.com
9112855Sgabeblack@google.com  tf=sc_create_vcd_trace_file("vcdtrace");
9212855Sgabeblack@google.com  sc_trace(tf,clk1,"clock");
9312855Sgabeblack@google.com
9412855Sgabeblack@google.com  // sc_initialize();      // comment out for sc_start version
9512855Sgabeblack@google.com  for(i=0;i<10;i++)
9612855Sgabeblack@google.com   {
9712855Sgabeblack@google.com    clk1=0;
9812855Sgabeblack@google.com    // sc_cycle(5,SC_NS);  // change to sc_start
9912855Sgabeblack@google.com    sc_start( 5, SC_NS );
10012855Sgabeblack@google.com    clk1=1;
10112855Sgabeblack@google.com    // sc_cycle(5,SC_NS);  // change to sc_start
10212855Sgabeblack@google.com    sc_start( 5, SC_NS );
10312855Sgabeblack@google.com   }
10412855Sgabeblack@google.com
10512855Sgabeblack@google.com  cout << "finishing at " << sc_time_stamp() << endl;
10612855Sgabeblack@google.com  sc_close_vcd_trace_file(tf);
10712855Sgabeblack@google.com
10812855Sgabeblack@google.com  return(EXIT_SUCCESS);
10912855Sgabeblack@google.com }
11012855Sgabeblack@google.com
11112855Sgabeblack@google.com/*
11212855Sgabeblack@google.comWith this programm, the clk1 is generated as can be seen in the trace file.
11312855Sgabeblack@google.comBut the pulse procedure gets stuck in the second wait function. With SystemC
11412855Sgabeblack@google.comV1.x, this worked with replacing sc_cycle with sc_start (and removing the
11512855Sgabeblack@google.comsc_initialize), however calling sc_start multiple was an undocumented feature
11612855Sgabeblack@google.comand it doesnt work in V2.0b2 (no clk is generated).
11712855Sgabeblack@google.comI know that this problem can be solved by creating an own clock generation
11812855Sgabeblack@google.commodule using wait()s and just a single sc_start in sc_main, but IMHO
11912855Sgabeblack@google.comsometimes it is desirable to do it the way shown above. So is this possible
12012855Sgabeblack@google.comwith SystemC V2.0 ?
12112855Sgabeblack@google.com
12212855Sgabeblack@google.comRegards, Sven Heithecker
12312855Sgabeblack@google.com
12412855Sgabeblack@google.com--
12512855Sgabeblack@google.comSven Heithecker                            IDA, Hans-Sommer-Str. 66
12612855Sgabeblack@google.comTechnical University of Braunschweig       38106 Braunschweig
12712855Sgabeblack@google.comTel. +49-(0)531-391-3751(voice)/4587(fax)  Germany
12812855Sgabeblack@google.comhttp://www.ida.ing.tu-bs.de/~svenh         heithecker@ida.ing.tu-bs.de
12912855Sgabeblack@google.com*/
130