112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  dataflow.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com#include "systemc.h"
3912855Sgabeblack@google.com
4012855Sgabeblack@google.comSC_MODULE( sawtooth )
4112855Sgabeblack@google.com{
4212855Sgabeblack@google.com  SC_HAS_PROCESS( sawtooth );
4312855Sgabeblack@google.com
4412855Sgabeblack@google.com  sc_in_clk clk;
4512855Sgabeblack@google.com
4612855Sgabeblack@google.com  sc_fifo<int>& out1;
4712855Sgabeblack@google.com  sc_fifo<int>& out2;
4812855Sgabeblack@google.com
4912855Sgabeblack@google.com  sawtooth( sc_module_name NAME,
5012855Sgabeblack@google.com	    sc_clock& CLK,
5112855Sgabeblack@google.com	    sc_fifo<int>& OUT1,
5212855Sgabeblack@google.com	    sc_fifo<int>& OUT2 )
5312855Sgabeblack@google.com    : out1(OUT1), out2(OUT2)
5412855Sgabeblack@google.com  {
5512855Sgabeblack@google.com    clk(CLK);
5612855Sgabeblack@google.com	SC_THREAD( entry );
5712855Sgabeblack@google.com	sensitive << clk.pos();
5812855Sgabeblack@google.com  }
5912855Sgabeblack@google.com
6012855Sgabeblack@google.com  void entry();
6112855Sgabeblack@google.com};
6212855Sgabeblack@google.com
6312855Sgabeblack@google.comvoid sawtooth::entry()
6412855Sgabeblack@google.com{
6512855Sgabeblack@google.com  int index = 0;
6612855Sgabeblack@google.com  while (true) {
6712855Sgabeblack@google.com     wait();
6812855Sgabeblack@google.com    out1.write(index % 17);
6912855Sgabeblack@google.com    out2.write(index % 17);
7012855Sgabeblack@google.com    index++;
7112855Sgabeblack@google.com  }
7212855Sgabeblack@google.com}
7312855Sgabeblack@google.com
7412855Sgabeblack@google.comSC_MODULE( delay )
7512855Sgabeblack@google.com{
7612855Sgabeblack@google.com  SC_HAS_PROCESS( delay );
7712855Sgabeblack@google.com
7812855Sgabeblack@google.com  sc_in_clk clk;
7912855Sgabeblack@google.com
8012855Sgabeblack@google.com  sc_fifo<int>& in;
8112855Sgabeblack@google.com  sc_fifo<int>& out;
8212855Sgabeblack@google.com
8312855Sgabeblack@google.com  delay( sc_module_name NAME,
8412855Sgabeblack@google.com	 sc_clock& CLK,
8512855Sgabeblack@google.com	 sc_fifo<int>& IN_,
8612855Sgabeblack@google.com	 sc_fifo<int>& OUT_ )
8712855Sgabeblack@google.com    : in(IN_), out(OUT_)
8812855Sgabeblack@google.com  {
8912855Sgabeblack@google.com    clk(CLK);
9012855Sgabeblack@google.com	SC_THREAD( entry );
9112855Sgabeblack@google.com	sensitive << clk.pos();
9212855Sgabeblack@google.com  }
9312855Sgabeblack@google.com
9412855Sgabeblack@google.com  void entry();
9512855Sgabeblack@google.com};
9612855Sgabeblack@google.com
9712855Sgabeblack@google.comvoid delay::entry()
9812855Sgabeblack@google.com{
9912855Sgabeblack@google.com  int buffer = 0;
10012855Sgabeblack@google.com
10112855Sgabeblack@google.com  while (true) {
10212855Sgabeblack@google.com    out.write(buffer);
10312855Sgabeblack@google.com    buffer = in.read();
10412855Sgabeblack@google.com  }
10512855Sgabeblack@google.com}
10612855Sgabeblack@google.com
10712855Sgabeblack@google.comSC_MODULE( downsample )
10812855Sgabeblack@google.com{
10912855Sgabeblack@google.com  SC_HAS_PROCESS( downsample );
11012855Sgabeblack@google.com
11112855Sgabeblack@google.com  sc_in_clk clk;
11212855Sgabeblack@google.com
11312855Sgabeblack@google.com  sc_fifo<int>& in;
11412855Sgabeblack@google.com  sc_fifo<int>& out;
11512855Sgabeblack@google.com
11612855Sgabeblack@google.com  downsample( sc_module_name NAME,
11712855Sgabeblack@google.com	      sc_clock& CLK,
11812855Sgabeblack@google.com	      sc_fifo<int>& IN_,
11912855Sgabeblack@google.com	      sc_fifo<int>& OUT_ )
12012855Sgabeblack@google.com    : in(IN_), out(OUT_)
12112855Sgabeblack@google.com  {
12212855Sgabeblack@google.com    clk(CLK);
12312855Sgabeblack@google.com	SC_THREAD( entry );
12412855Sgabeblack@google.com	sensitive << clk.pos();
12512855Sgabeblack@google.com  }
12612855Sgabeblack@google.com
12712855Sgabeblack@google.com  void entry();
12812855Sgabeblack@google.com};
12912855Sgabeblack@google.com
13012855Sgabeblack@google.comvoid downsample::entry()
13112855Sgabeblack@google.com{
13212855Sgabeblack@google.com  int temp;
13312855Sgabeblack@google.com  while (true) {
13412855Sgabeblack@google.com    temp = in.read();
13512855Sgabeblack@google.com    temp = in.read();
13612855Sgabeblack@google.com    out.write(temp);
13712855Sgabeblack@google.com  }
13812855Sgabeblack@google.com}
13912855Sgabeblack@google.com
14012855Sgabeblack@google.comSC_MODULE( upsample )
14112855Sgabeblack@google.com{
14212855Sgabeblack@google.com  SC_HAS_PROCESS( upsample );
14312855Sgabeblack@google.com
14412855Sgabeblack@google.com  sc_in_clk clk;
14512855Sgabeblack@google.com
14612855Sgabeblack@google.com  sc_fifo<int>& in;
14712855Sgabeblack@google.com  sc_fifo<int>& out;
14812855Sgabeblack@google.com
14912855Sgabeblack@google.com  upsample( sc_module_name NAME,
15012855Sgabeblack@google.com	    sc_clock& CLK,
15112855Sgabeblack@google.com	    sc_fifo<int>& IN_,
15212855Sgabeblack@google.com	    sc_fifo<int>& OUT_ )
15312855Sgabeblack@google.com    : in(IN_), out(OUT_)
15412855Sgabeblack@google.com  {
15512855Sgabeblack@google.com    clk(CLK);
15612855Sgabeblack@google.com	SC_THREAD( entry );
15712855Sgabeblack@google.com	sensitive << clk.pos();
15812855Sgabeblack@google.com  }
15912855Sgabeblack@google.com
16012855Sgabeblack@google.com  void entry();
16112855Sgabeblack@google.com};
16212855Sgabeblack@google.com
16312855Sgabeblack@google.comvoid upsample::entry()
16412855Sgabeblack@google.com{
16512855Sgabeblack@google.com  while(true) {
16612855Sgabeblack@google.com    out.write(in.read());
16712855Sgabeblack@google.com    out.write(0);
16812855Sgabeblack@google.com  }
16912855Sgabeblack@google.com}
17012855Sgabeblack@google.com
17112855Sgabeblack@google.comSC_MODULE( adder )
17212855Sgabeblack@google.com{
17312855Sgabeblack@google.com  SC_HAS_PROCESS( adder );
17412855Sgabeblack@google.com
17512855Sgabeblack@google.com  sc_in_clk clk;
17612855Sgabeblack@google.com
17712855Sgabeblack@google.com  sc_fifo<int>& a;
17812855Sgabeblack@google.com  sc_fifo<int>& b;
17912855Sgabeblack@google.com
18012855Sgabeblack@google.com  adder( sc_module_name NAME,
18112855Sgabeblack@google.com	 sc_clock& CLK,
18212855Sgabeblack@google.com	 sc_fifo<int>& A,
18312855Sgabeblack@google.com	 sc_fifo<int>& B )
18412855Sgabeblack@google.com    : a(A), b(B)
18512855Sgabeblack@google.com  {
18612855Sgabeblack@google.com    clk(CLK);
18712855Sgabeblack@google.com	SC_THREAD( entry );
18812855Sgabeblack@google.com	sensitive << clk.pos();
18912855Sgabeblack@google.com  }
19012855Sgabeblack@google.com
19112855Sgabeblack@google.com  void entry();
19212855Sgabeblack@google.com};
19312855Sgabeblack@google.com
19412855Sgabeblack@google.comvoid adder::entry()
19512855Sgabeblack@google.com{
19612855Sgabeblack@google.com  while(true) {
19712855Sgabeblack@google.com    int tmp = a.read() + b.read();
19812855Sgabeblack@google.com    cout << "Sum = " << tmp << endl;
19912855Sgabeblack@google.com  }
20012855Sgabeblack@google.com}
20112855Sgabeblack@google.com
20212855Sgabeblack@google.com
20312855Sgabeblack@google.comint sc_main(int ac, char *av[])
20412855Sgabeblack@google.com{
20512855Sgabeblack@google.com  sc_fifo<int> st1("ST1", 2), st2("ST2", 2);
20612855Sgabeblack@google.com  sc_fifo<int> a1("A1", 2), a2("A2", 2), a3("A3", 2);
20712855Sgabeblack@google.com  sc_fifo<int> b1("B1", 2), b2("B2", 2), b3("B3", 2);
20812855Sgabeblack@google.com
20912855Sgabeblack@google.com  sc_clock clock("CLOCK");
21012855Sgabeblack@google.com
21112855Sgabeblack@google.com  sawtooth ST("TB1", clock, st1, st2);
21212855Sgabeblack@google.com
21312855Sgabeblack@google.com  delay D1("D1", clock, st1, a1);
21412855Sgabeblack@google.com  downsample DN1("DN1", clock, a1, a2);
21512855Sgabeblack@google.com  upsample UP1("UP1", clock, a2, a3);
21612855Sgabeblack@google.com
21712855Sgabeblack@google.com  downsample DN2("DN2", clock, st2, b1);
21812855Sgabeblack@google.com  upsample UP2("UP2", clock, b1, b2);
21912855Sgabeblack@google.com  delay D2("D2", clock, b2, b3);
22012855Sgabeblack@google.com
22112855Sgabeblack@google.com  adder A ("A", clock, a3, b3);
22212855Sgabeblack@google.com
22312855Sgabeblack@google.com  sc_start(100, SC_NS);
22412855Sgabeblack@google.com
22512855Sgabeblack@google.com  return 0;
22612855Sgabeblack@google.com}
227