main.cpp revision 12855:588919e0e4aa
113481Sgiacomo.travaglini@arm.com/*****************************************************************************
213481Sgiacomo.travaglini@arm.com
313481Sgiacomo.travaglini@arm.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
413481Sgiacomo.travaglini@arm.com  more contributor license agreements.  See the NOTICE file distributed
513481Sgiacomo.travaglini@arm.com  with this work for additional information regarding copyright ownership.
613481Sgiacomo.travaglini@arm.com  Accellera licenses this file to you under the Apache License, Version 2.0
713481Sgiacomo.travaglini@arm.com  (the "License"); you may not use this file except in compliance with the
813481Sgiacomo.travaglini@arm.com  License.  You may obtain a copy of the License at
9
10    http://www.apache.org/licenses/LICENSE-2.0
11
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied.  See the License for the specific language governing
16  permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22  main.cpp --
23
24  Original Author: Rocco Jonack, Synopsys, Inc., 1999-07-30
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32
33      Name, Affiliation, Date:
34  Description of Modification:
35
36 *****************************************************************************/
37
38
39#include "stimulus.h"
40#include "display.h"
41#include "xor_1.h"
42
43int sc_main (int argc , char *argv[]) {
44  sc_clock        clock;
45  sc_signal<bool> reset;
46  sc_signal<int>              stimulus_line1;
47  sc_signal<unsigned int>     stimulus_line2;
48  sc_signal_bool_vector       stimulus_line3;
49  sc_signal_bool_vector       stimulus_line4;
50  sc_signal_bool_vector       stimulus_line5;
51  sc_signal<bool>             input_valid;
52  sc_signal<bool>             output_valid;
53  sc_signal<int>              result_line1;
54  sc_signal<unsigned int>     result_line2;
55  sc_signal_bool_vector       result_line3;
56  sc_signal_bool_vector       result_line4;
57  sc_signal_bool_vector       result_line5;
58
59  stimulus stimulus1("stimulus_block",
60                      clock,
61		      reset,
62                      stimulus_line1,
63                      stimulus_line2,
64                      stimulus_line3,
65                      stimulus_line4,
66                      stimulus_line5,
67		      input_valid);
68
69  xor_1   xor1    ( "process_body",
70                       clock,
71		       reset,
72                       stimulus_line1,
73                       stimulus_line2,
74                       stimulus_line3,
75                       stimulus_line4,
76                       stimulus_line5,
77		       input_valid,
78                       result_line1,
79                       result_line2,
80                       result_line3,
81                       result_line4,
82                       result_line5,
83		       output_valid);
84
85  display  display1 ( "display",
86                       clock,
87		       result_line1,
88		       result_line2,
89		       result_line3,
90		       result_line4,
91		       result_line5,
92		       output_valid);
93
94  sc_start();
95  return 0;
96}
97
98// EOF
99